summaryrefslogtreecommitdiffstats
path: root/windows
diff options
context:
space:
mode:
authormösi <mösi@b9310e46-f624-0410-8ea1-cfbb3a30dc96>2009-02-13 15:00:50 +0000
committermösi <mösi@b9310e46-f624-0410-8ea1-cfbb3a30dc96>2009-02-13 15:00:50 +0000
commit5e03d37d5d66ade0d81911f8ac10e6aa124f48cf (patch)
treec45d05d2b4794e04741c804c12db0c22f994c9cd /windows
parent7cabec84a234784038e59ce2619a1fb0b546e06a (diff)
fehlererkennung reaktiviert, fehlende keysyms eingefügt. compose-Generierung jetzt deutlich flotter!
git-svn-id: https://svn.neo-layout.org@1656 b9310e46-f624-0410-8ea1-cfbb3a30dc96
Diffstat (limited to 'windows')
-rw-r--r--windows/neo-vars/src/source/compose.generated.ahk5779
-rw-r--r--windows/neo-vars/src/source/makecompose.ahk3526
2 files changed, 4708 insertions, 4597 deletions
diff --git a/windows/neo-vars/src/source/compose.generated.ahk b/windows/neo-vars/src/source/compose.generated.ahk
index 337f7ba..64131ce 100644
--- a/windows/neo-vars/src/source/compose.generated.ahk
+++ b/windows/neo-vars/src/source/compose.generated.ahk
@@ -1,4 +1,4 @@
-CompRevision := "1637"
+CompRevision := "1655"
CMTtlde:=1
CDTtldeU0020:="U007E"
CRCU007E.=" TtldeU0020"
@@ -64,11 +64,11 @@ CDTogonU00A0:="U02DB"
CRCU02DB.=" TogonU00A0"
CDTogonTogon:="U02DB"
CRCU02DB.=" TogonTogon"
-CMU0000:=1
-CDU0000U00A0:="U037A"
-CRCU037A.=" U0000U00A0"
-CDU0000U0000:="U037A"
-CRCU037A.=" U0000U0000"
+CMTiota:=1
+CDTiotaU00A0:="U037A"
+CRCU037A.=" TiotaU00A0"
+CDTiotaTiota:="U037A"
+CRCU037A.=" TiotaTiota"
CMSComp:=1
CMSCompU002B:=1
CDSCompU002BU002B:="U0023"
@@ -945,8 +945,9 @@ CDTgravU0041:="U00C0"
CRCU00C0.=" TgravU0041"
CDSCompU0060U0041:="U00C0"
CRCU00C0.=" SCompU0060U0041"
-CDU0000U0041:="U00C0"
-CRCU00C0.=" U0000U0041"
+CMTCgrv:=1
+CDTCgrvU0041:="U00C0"
+CRCU00C0.=" TCgrvU0041"
CDTacutU0041:="U00C1"
CRCU00C1.=" TacutU0041"
CMSCompU00B4:=1
@@ -954,8 +955,9 @@ CDSCompU00B4U0041:="U00C1"
CRCU00C1.=" SCompU00B4U0041"
CDSCompU0027U0041:="U00C1"
CRCU00C1.=" SCompU0027U0041"
-CDU0000U0041:="U00C1"
-CRCU00C1.=" U0000U0041"
+CMTCact:=1
+CDTCactU0041:="U00C1"
+CRCU00C1.=" TCactU0041"
CDTcflxU0041:="U00C2"
CRCU00C2.=" TcflxU0041"
CDSCompU005EU0041:="U00C2"
@@ -964,8 +966,9 @@ CDTtldeU0041:="U00C3"
CRCU00C3.=" TtldeU0041"
CDSCompU007EU0041:="U00C3"
CRCU00C3.=" SCompU007EU0041"
-CDU0000U0041:="U00C3"
-CRCU00C3.=" U0000U0041"
+CMTCtld:=1
+CDTCtldU0041:="U00C3"
+CRCU00C3.=" TCtldU0041"
CDTdrssU0041:="U00C4"
CRCU00C4.=" TdrssU0041"
CDSCompU0022U0041:="U00C4"
@@ -982,16 +985,16 @@ CDTgravU0045:="U00C8"
CRCU00C8.=" TgravU0045"
CDSCompU0060U0045:="U00C8"
CRCU00C8.=" SCompU0060U0045"
-CDU0000U0045:="U00C8"
-CRCU00C8.=" U0000U0045"
+CDTCgrvU0045:="U00C8"
+CRCU00C8.=" TCgrvU0045"
CDTacutU0045:="U00C9"
CRCU00C9.=" TacutU0045"
CDSCompU00B4U0045:="U00C9"
CRCU00C9.=" SCompU00B4U0045"
CDSCompU0027U0045:="U00C9"
CRCU00C9.=" SCompU0027U0045"
-CDU0000U0045:="U00C9"
-CRCU00C9.=" U0000U0045"
+CDTCactU0045:="U00C9"
+CRCU00C9.=" TCactU0045"
CDTcflxU0045:="U00CA"
CRCU00CA.=" TcflxU0045"
CDSCompU005EU0045:="U00CA"
@@ -1004,16 +1007,16 @@ CDTgravU0049:="U00CC"
CRCU00CC.=" TgravU0049"
CDSCompU0060U0049:="U00CC"
CRCU00CC.=" SCompU0060U0049"
-CDU0000U0049:="U00CC"
-CRCU00CC.=" U0000U0049"
+CDTCgrvU0049:="U00CC"
+CRCU00CC.=" TCgrvU0049"
CDTacutU0049:="U00CD"
CRCU00CD.=" TacutU0049"
CDSCompU00B4U0049:="U00CD"
CRCU00CD.=" SCompU00B4U0049"
CDSCompU0027U0049:="U00CD"
CRCU00CD.=" SCompU0027U0049"
-CDU0000U0049:="U00CD"
-CRCU00CD.=" U0000U0049"
+CDTCactU0049:="U00CD"
+CRCU00CD.=" TCactU0049"
CDTcflxU0049:="U00CE"
CRCU00CE.=" TcflxU0049"
CDSCompU005EU0049:="U00CE"
@@ -1029,22 +1032,22 @@ CDTtldeU004E:="U00D1"
CRCU00D1.=" TtldeU004E"
CDSCompU007EU004E:="U00D1"
CRCU00D1.=" SCompU007EU004E"
-CDU0000U004E:="U00D1"
-CRCU00D1.=" U0000U004E"
+CDTCtldU004E:="U00D1"
+CRCU00D1.=" TCtldU004E"
CDTgravU004F:="U00D2"
CRCU00D2.=" TgravU004F"
CDSCompU0060U004F:="U00D2"
CRCU00D2.=" SCompU0060U004F"
-CDU0000U004F:="U00D2"
-CRCU00D2.=" U0000U004F"
+CDTCgrvU004F:="U00D2"
+CRCU00D2.=" TCgrvU004F"
CDTacutU004F:="U00D3"
CRCU00D3.=" TacutU004F"
CDSCompU00B4U004F:="U00D3"
CRCU00D3.=" SCompU00B4U004F"
CDSCompU0027U004F:="U00D3"
CRCU00D3.=" SCompU0027U004F"
-CDU0000U004F:="U00D3"
-CRCU00D3.=" U0000U004F"
+CDTCactU004F:="U00D3"
+CRCU00D3.=" TCactU004F"
CDTcflxU004F:="U00D4"
CRCU00D4.=" TcflxU004F"
CDSCompU005EU004F:="U00D4"
@@ -1053,8 +1056,8 @@ CDTtldeU004F:="U00D5"
CRCU00D5.=" TtldeU004F"
CDSCompU007EU004F:="U00D5"
CRCU00D5.=" SCompU007EU004F"
-CDU0000U004F:="U00D5"
-CRCU00D5.=" U0000U004F"
+CDTCtldU004F:="U00D5"
+CRCU00D5.=" TCtldU004F"
CDTdrssU004F:="U00D6"
CRCU00D6.=" TdrssU004F"
CDSCompU0022U004F:="U00D6"
@@ -1070,16 +1073,16 @@ CDTgravU0055:="U00D9"
CRCU00D9.=" TgravU0055"
CDSCompU0060U0055:="U00D9"
CRCU00D9.=" SCompU0060U0055"
-CDU0000U0055:="U00D9"
-CRCU00D9.=" U0000U0055"
+CDTCgrvU0055:="U00D9"
+CRCU00D9.=" TCgrvU0055"
CDTacutU0055:="U00DA"
CRCU00DA.=" TacutU0055"
CDSCompU00B4U0055:="U00DA"
CRCU00DA.=" SCompU00B4U0055"
CDSCompU0027U0055:="U00DA"
CRCU00DA.=" SCompU0027U0055"
-CDU0000U0055:="U00DA"
-CRCU00DA.=" U0000U0055"
+CDTCactU0055:="U00DA"
+CRCU00DA.=" TCactU0055"
CDTcflxU0055:="U00DB"
CRCU00DB.=" TcflxU0055"
CDSCompU005EU0055:="U00DB"
@@ -1094,8 +1097,8 @@ CDSCompU00B4U0059:="U00DD"
CRCU00DD.=" SCompU00B4U0059"
CDSCompU0027U0059:="U00DD"
CRCU00DD.=" SCompU0027U0059"
-CDU0000U0059:="U00DD"
-CRCU00DD.=" U0000U0059"
+CDTCactU0059:="U00DD"
+CRCU00DD.=" TCactU0059"
CMSCompU0054:=1
CDSCompU0054U0048:="U00DE"
CRCU00DE.=" SCompU0054U0048"
@@ -1103,16 +1106,16 @@ CDTgravU0061:="U00E0"
CRCU00E0.=" TgravU0061"
CDSCompU0060U0061:="U00E0"
CRCU00E0.=" SCompU0060U0061"
-CDU0000U0061:="U00E0"
-CRCU00E0.=" U0000U0061"
+CDTCgrvU0061:="U00E0"
+CRCU00E0.=" TCgrvU0061"
CDTacutU0061:="U00E1"
CRCU00E1.=" TacutU0061"
CDSCompU00B4U0061:="U00E1"
CRCU00E1.=" SCompU00B4U0061"
CDSCompU0027U0061:="U00E1"
CRCU00E1.=" SCompU0027U0061"
-CDU0000U0061:="U00E1"
-CRCU00E1.=" U0000U0061"
+CDTCactU0061:="U00E1"
+CRCU00E1.=" TCactU0061"
CDTcflxU0061:="U00E2"
CRCU00E2.=" TcflxU0061"
CDSCompU005EU0061:="U00E2"
@@ -1121,8 +1124,8 @@ CDTtldeU0061:="U00E3"
CRCU00E3.=" TtldeU0061"
CDSCompU007EU0061:="U00E3"
CRCU00E3.=" SCompU007EU0061"
-CDU0000U0061:="U00E3"
-CRCU00E3.=" U0000U0061"
+CDTCtldU0061:="U00E3"
+CRCU00E3.=" TCtldU0061"
CDTdrssU0061:="U00E4"
CRCU00E4.=" TdrssU0061"
CDSCompU0022U0061:="U00E4"
@@ -1139,16 +1142,16 @@ CDTgravU0065:="U00E8"
CRCU00E8.=" TgravU0065"
CDSCompU0060U0065:="U00E8"
CRCU00E8.=" SCompU0060U0065"
-CDU0000U0065:="U00E8"
-CRCU00E8.=" U0000U0065"
+CDTCgrvU0065:="U00E8"
+CRCU00E8.=" TCgrvU0065"
CDTacutU0065:="U00E9"
CRCU00E9.=" TacutU0065"
CDSCompU00B4U0065:="U00E9"
CRCU00E9.=" SCompU00B4U0065"
CDSCompU0027U0065:="U00E9"
CRCU00E9.=" SCompU0027U0065"
-CDU0000U0065:="U00E9"
-CRCU00E9.=" U0000U0065"
+CDTCactU0065:="U00E9"
+CRCU00E9.=" TCactU0065"
CDTcflxU0065:="U00EA"
CRCU00EA.=" TcflxU0065"
CDSCompU005EU0065:="U00EA"
@@ -1161,16 +1164,16 @@ CDTgravU0069:="U00EC"
CRCU00EC.=" TgravU0069"
CDSCompU0060U0069:="U00EC"
CRCU00EC.=" SCompU0060U0069"
-CDU0000U0069:="U00EC"
-CRCU00EC.=" U0000U0069"
+CDTCgrvU0069:="U00EC"
+CRCU00EC.=" TCgrvU0069"
CDTacutU0069:="U00ED"
CRCU00ED.=" TacutU0069"
CDSCompU00B4U0069:="U00ED"
CRCU00ED.=" SCompU00B4U0069"
CDSCompU0027U0069:="U00ED"
CRCU00ED.=" SCompU0027U0069"
-CDU0000U0069:="U00ED"
-CRCU00ED.=" U0000U0069"
+CDTCactU0069:="U00ED"
+CRCU00ED.=" TCactU0069"
CDTcflxU0069:="U00EE"
CRCU00EE.=" TcflxU0069"
CDSCompU005EU0069:="U00EE"
@@ -1185,22 +1188,22 @@ CDTtldeU006E:="U00F1"
CRCU00F1.=" TtldeU006E"
CDSCompU007EU006E:="U00F1"
CRCU00F1.=" SCompU007EU006E"
-CDU0000U006E:="U00F1"
-CRCU00F1.=" U0000U006E"
+CDTCtldU006E:="U00F1"
+CRCU00F1.=" TCtldU006E"
CDTgravU006F:="U00F2"
CRCU00F2.=" TgravU006F"
CDSCompU0060U006F:="U00F2"
CRCU00F2.=" SCompU0060U006F"
-CDU0000U006F:="U00F2"
-CRCU00F2.=" U0000U006F"
+CDTCgrvU006F:="U00F2"
+CRCU00F2.=" TCgrvU006F"
CDTacutU006F:="U00F3"
CRCU00F3.=" TacutU006F"
CDSCompU00B4U006F:="U00F3"
CRCU00F3.=" SCompU00B4U006F"
CDSCompU0027U006F:="U00F3"
CRCU00F3.=" SCompU0027U006F"
-CDU0000U006F:="U00F3"
-CRCU00F3.=" U0000U006F"
+CDTCactU006F:="U00F3"
+CRCU00F3.=" TCactU006F"
CDTcflxU006F:="U00F4"
CRCU00F4.=" TcflxU006F"
CDSCompU005EU006F:="U00F4"
@@ -1209,8 +1212,8 @@ CDTtldeU006F:="U00F5"
CRCU00F5.=" TtldeU006F"
CDSCompU007EU006F:="U00F5"
CRCU00F5.=" SCompU007EU006F"
-CDU0000U006F:="U00F5"
-CRCU00F5.=" U0000U006F"
+CDTCtldU006F:="U00F5"
+CRCU00F5.=" TCtldU006F"
CDTdrssU006F:="U00F6"
CRCU00F6.=" TdrssU006F"
CDSCompU0022U006F:="U00F6"
@@ -1228,16 +1231,16 @@ CDTgravU0075:="U00F9"
CRCU00F9.=" TgravU0075"
CDSCompU0060U0075:="U00F9"
CRCU00F9.=" SCompU0060U0075"
-CDU0000U0075:="U00F9"
-CRCU00F9.=" U0000U0075"
+CDTCgrvU0075:="U00F9"
+CRCU00F9.=" TCgrvU0075"
CDTacutU0075:="U00FA"
CRCU00FA.=" TacutU0075"
CDSCompU00B4U0075:="U00FA"
CRCU00FA.=" SCompU00B4U0075"
CDSCompU0027U0075:="U00FA"
CRCU00FA.=" SCompU0027U0075"
-CDU0000U0075:="U00FA"
-CRCU00FA.=" U0000U0075"
+CDTCactU0075:="U00FA"
+CRCU00FA.=" TCactU0075"
CDTcflxU0075:="U00FB"
CRCU00FB.=" TcflxU0075"
CDSCompU005EU0075:="U00FB"
@@ -1252,8 +1255,8 @@ CDSCompU00B4U0079:="U00FD"
CRCU00FD.=" SCompU00B4U0079"
CDSCompU0027U0079:="U00FD"
CRCU00FD.=" SCompU0027U0079"
-CDU0000U0079:="U00FD"
-CRCU00FD.=" U0000U0079"
+CDTCactU0079:="U00FD"
+CRCU00FD.=" TCactU0079"
CMSCompU0074:=1
CDSCompU0074U0068:="U00FE"
CRCU00FE.=" SCompU0074U0068"
@@ -1304,16 +1307,16 @@ CDSCompU00B4U0043:="U0106"
CRCU0106.=" SCompU00B4U0043"
CDSCompU0027U0043:="U0106"
CRCU0106.=" SCompU0027U0043"
-CDU0000U0043:="U0106"
-CRCU0106.=" U0000U0043"
+CDTCactU0043:="U0106"
+CRCU0106.=" TCactU0043"
CDTacutU0063:="U0107"
CRCU0107.=" TacutU0063"
CDSCompU00B4U0063:="U0107"
CRCU0107.=" SCompU00B4U0063"
CDSCompU0027U0063:="U0107"
CRCU0107.=" SCompU0027U0063"
-CDU0000U0063:="U0107"
-CRCU0107.=" U0000U0063"
+CDTCactU0063:="U0107"
+CRCU0107.=" TCactU0063"
CDTcflxU0043:="U0108"
CRCU0108.=" TcflxU0043"
CDSCompU005EU0043:="U0108"
@@ -1458,14 +1461,14 @@ CDTtldeU0049:="U0128"
CRCU0128.=" TtldeU0049"
CDSCompU007EU0049:="U0128"
CRCU0128.=" SCompU007EU0049"
-CDU0000U0049:="U0128"
-CRCU0128.=" U0000U0049"
+CDTCtldU0049:="U0128"
+CRCU0128.=" TCtldU0049"
CDTtldeU0069:="U0129"
CRCU0129.=" TtldeU0069"
CDSCompU007EU0069:="U0129"
CRCU0129.=" SCompU007EU0069"
-CDU0000U0069:="U0129"
-CRCU0129.=" U0000U0069"
+CDTCtldU0069:="U0129"
+CRCU0129.=" TCtldU0069"
CDTmcrnU0049:="U012A"
CRCU012A.=" TmcrnU0049"
CDSCompU00AFU0049:="U012A"
@@ -1532,16 +1535,16 @@ CDSCompU00B4U004C:="U0139"
CRCU0139.=" SCompU00B4U004C"
CDSCompU0027U004C:="U0139"
CRCU0139.=" SCompU0027U004C"
-CDU0000U004C:="U0139"
-CRCU0139.=" U0000U004C"
+CDTCactU004C:="U0139"
+CRCU0139.=" TCactU004C"
CDTacutU006C:="U013A"
CRCU013A.=" TacutU006C"
CDSCompU00B4U006C:="U013A"
CRCU013A.=" SCompU00B4U006C"
CDSCompU0027U006C:="U013A"
CRCU013A.=" SCompU0027U006C"
-CDU0000U006C:="U013A"
-CRCU013A.=" U0000U006C"
+CDTCactU006C:="U013A"
+CRCU013A.=" TCactU006C"
CDTcediU004C:="U013B"
CRCU013B.=" TcediU004C"
CDSCompU002CU004C:="U013B"
@@ -1572,16 +1575,16 @@ CDSCompU00B4U004E:="U0143"
CRCU0143.=" SCompU00B4U004E"
CDSCompU0027U004E:="U0143"
CRCU0143.=" SCompU0027U004E"
-CDU0000U004E:="U0143"
-CRCU0143.=" U0000U004E"
+CDTCactU004E:="U0143"
+CRCU0143.=" TCactU004E"
CDTacutU006E:="U0144"
CRCU0144.=" TacutU006E"
CDSCompU00B4U006E:="U0144"
CRCU0144.=" SCompU00B4U006E"
CDSCompU0027U006E:="U0144"
CRCU0144.=" SCompU0027U006E"
-CDU0000U006E:="U0144"
-CRCU0144.=" U0000U006E"
+CDTCactU006E:="U0144"
+CRCU0144.=" TCactU006E"
CDTcediU004E:="U0145"
CRCU0145.=" TcediU004E"
CDSCompU002CU004E:="U0145"
@@ -1641,16 +1644,16 @@ CDSCompU00B4U0052:="U0154"
CRCU0154.=" SCompU00B4U0052"
CDSCompU0027U0052:="U0154"
CRCU0154.=" SCompU0027U0052"
-CDU0000U0052:="U0154"
-CRCU0154.=" U0000U0052"
+CDTCactU0052:="U0154"
+CRCU0154.=" TCactU0052"
CDTacutU0072:="U0155"
CRCU0155.=" TacutU0072"
CDSCompU00B4U0072:="U0155"
CRCU0155.=" SCompU00B4U0072"
CDSCompU0027U0072:="U0155"
CRCU0155.=" SCompU0027U0072"
-CDU0000U0072:="U0155"
-CRCU0155.=" U0000U0072"
+CDTCactU0072:="U0155"
+CRCU0155.=" TCactU0072"
CDTcediU0052:="U0156"
CRCU0156.=" TcediU0052"
CDSCompU002CU0052:="U0156"
@@ -1673,16 +1676,16 @@ CDSCompU00B4U0053:="U015A"
CRCU015A.=" SCompU00B4U0053"
CDSCompU0027U0053:="U015A"
CRCU015A.=" SCompU0027U0053"
-CDU0000U0053:="U015A"
-CRCU015A.=" U0000U0053"
+CDTCactU0053:="U015A"
+CRCU015A.=" TCactU0053"
CDTacutU0073:="U015B"
CRCU015B.=" TacutU0073"
CDSCompU00B4U0073:="U015B"
CRCU015B.=" SCompU00B4U0073"
CDSCompU0027U0073:="U015B"
CRCU015B.=" SCompU0027U0073"
-CDU0000U0073:="U015B"
-CRCU015B.=" U0000U0073"
+CDTCactU0073:="U015B"
+CRCU015B.=" TCactU0073"
CDTcflxU0053:="U015C"
CRCU015C.=" TcflxU0053"
CDSCompU005EU0053:="U015C"
@@ -1735,14 +1738,14 @@ CDTtldeU0055:="U0168"
CRCU0168.=" TtldeU0055"
CDSCompU007EU0055:="U0168"
CRCU0168.=" SCompU007EU0055"
-CDU0000U0055:="U0168"
-CRCU0168.=" U0000U0055"
+CDTCtldU0055:="U0168"
+CRCU0168.=" TCtldU0055"
CDTtldeU0075:="U0169"
CRCU0169.=" TtldeU0075"
CDSCompU007EU0075:="U0169"
CRCU0169.=" SCompU007EU0075"
-CDU0000U0075:="U0169"
-CRCU0169.=" U0000U0075"
+CDTCtldU0075:="U0169"
+CRCU0169.=" TCtldU0075"
CDTmcrnU0055:="U016A"
CRCU016A.=" TmcrnU0055"
CDSCompU00AFU0055:="U016A"
@@ -1817,16 +1820,16 @@ CDSCompU00B4U005A:="U0179"
CRCU0179.=" SCompU00B4U005A"
CDSCompU0027U005A:="U0179"
CRCU0179.=" SCompU0027U005A"
-CDU0000U005A:="U0179"
-CRCU0179.=" U0000U005A"
+CDTCactU005A:="U0179"
+CRCU0179.=" TCactU005A"
CDTacutU007A:="U017A"
CRCU017A.=" TacutU007A"
CDSCompU00B4U007A:="U017A"
CRCU017A.=" SCompU00B4U007A"
CDSCompU0027U007A:="U017A"
CRCU017A.=" SCompU0027U007A"
-CDU0000U007A:="U017A"
-CRCU017A.=" U0000U007A"
+CDTCactU007A:="U017A"
+CRCU017A.=" TCactU007A"
CDTabdtU005A:="U017B"
CRCU017B.=" TabdtU005A"
CDSCompU002EU005A:="U017B"
@@ -1851,20 +1854,21 @@ CDSCompU002FU0049:="U0197"
CRCU0197.=" SCompU002FU0049"
CDSCompSNDivU0049:="U0197"
CRCU0197.=" SCompSNDivU0049"
-CDU0000U004F:="U01A0"
-CRCU01A0.=" U0000U004F"
+CMThorn:=1
+CDThornU004F:="U01A0"
+CRCU01A0.=" ThornU004F"
CDSCompU002BU004F:="U01A0"
CRCU01A0.=" SCompU002BU004F"
-CDU0000U006F:="U01A1"
-CRCU01A1.=" U0000U006F"
+CDThornU006F:="U01A1"
+CRCU01A1.=" ThornU006F"
CDSCompU002BU006F:="U01A1"
CRCU01A1.=" SCompU002BU006F"
-CDU0000U0055:="U01AF"
-CRCU01AF.=" U0000U0055"
+CDThornU0055:="U01AF"
+CRCU01AF.=" ThornU0055"
CDSCompU002BU0055:="U01AF"
CRCU01AF.=" SCompU002BU0055"
-CDU0000U0075:="U01B0"
-CRCU01B0.=" U0000U0075"
+CDThornU0075:="U01B0"
+CRCU01B0.=" ThornU0075"
CDSCompU002BU0075:="U01B0"
CRCU01B0.=" SCompU002BU0075"
CDSCompU002FU005A:="U01B5"
@@ -1975,15 +1979,15 @@ CRCU01D7.=" SCompU0027TdrssU0055"
CMSCompU0027U0022:=1
CDSCompU0027U0022U0055:="U01D7"
CRCU01D7.=" SCompU0027U0022U0055"
-CDU0000U00DC:="U01D7"
-CRCU01D7.=" U0000U00DC"
-CMU0000Tdrss:=1
-CDU0000TdrssU0055:="U01D7"
-CRCU01D7.=" U0000TdrssU0055"
-CMU0000SComp:=1
-CMU0000SCompU0022:=1
-CDU0000SCompU0022U0055:="U01D7"
-CRCU01D7.=" U0000SCompU0022U0055"
+CDTCactU00DC:="U01D7"
+CRCU01D7.=" TCactU00DC"
+CMTCactTdrss:=1
+CDTCactTdrssU0055:="U01D7"
+CRCU01D7.=" TCactTdrssU0055"
+CMTCactSComp:=1
+CMTCactSCompU0022:=1
+CDTCactSCompU0022U0055:="U01D7"
+CRCU01D7.=" TCactSCompU0022U0055"
CDTacutU00FC:="U01D8"
CRCU01D8.=" TacutU00FC"
CDSCompU00B4U00FC:="U01D8"
@@ -2002,12 +2006,12 @@ CDSCompU0027TdrssU0075:="U01D8"
CRCU01D8.=" SCompU0027TdrssU0075"
CDSCompU0027U0022U0075:="U01D8"
CRCU01D8.=" SCompU0027U0022U0075"
-CDU0000U00FC:="U01D8"
-CRCU01D8.=" U0000U00FC"
-CDU0000TdrssU0075:="U01D8"
-CRCU01D8.=" U0000TdrssU0075"
-CDU0000SCompU0022U0075:="U01D8"
-CRCU01D8.=" U0000SCompU0022U0075"
+CDTCactU00FC:="U01D8"
+CRCU01D8.=" TCactU00FC"
+CDTCactTdrssU0075:="U01D8"
+CRCU01D8.=" TCactTdrssU0075"
+CDTCactSCompU0022U0075:="U01D8"
+CRCU01D8.=" TCactSCompU0022U0075"
CDTcronU00DC:="U01D9"
CRCU01D9.=" TcronU00DC"
CDSCompU0063U00DC:="U01D9"
@@ -2054,12 +2058,15 @@ CRCU01DB.=" SCompU0060TdrssU0055"
CMSCompU0060U0022:=1
CDSCompU0060U0022U0055:="U01DB"
CRCU01DB.=" SCompU0060U0022U0055"
-CDU0000U00DC:="U01DB"
-CRCU01DB.=" U0000U00DC"
-CDU0000TdrssU0055:="U01DB"
-CRCU01DB.=" U0000TdrssU0055"
-CDU0000SCompU0022U0055:="U01DB"
-CRCU01DB.=" U0000SCompU0022U0055"
+CDTCgrvU00DC:="U01DB"
+CRCU01DB.=" TCgrvU00DC"
+CMTCgrvTdrss:=1
+CDTCgrvTdrssU0055:="U01DB"
+CRCU01DB.=" TCgrvTdrssU0055"
+CMTCgrvSComp:=1
+CMTCgrvSCompU0022:=1
+CDTCgrvSCompU0022U0055:="U01DB"
+CRCU01DB.=" TCgrvSCompU0022U0055"
CDTgravU00FC:="U01DC"
CRCU01DC.=" TgravU00FC"
CDSCompU0060U00FC:="U01DC"
@@ -2072,12 +2079,12 @@ CDSCompU0060TdrssU0075:="U01DC"
CRCU01DC.=" SCompU0060TdrssU0075"
CDSCompU0060U0022U0075:="U01DC"
CRCU01DC.=" SCompU0060U0022U0075"
-CDU0000U00FC:="U01DC"
-CRCU01DC.=" U0000U00FC"
-CDU0000TdrssU0075:="U01DC"
-CRCU01DC.=" U0000TdrssU0075"
-CDU0000SCompU0022U0075:="U01DC"
-CRCU01DC.=" U0000SCompU0022U0075"
+CDTCgrvU00FC:="U01DC"
+CRCU01DC.=" TCgrvU00FC"
+CDTCgrvTdrssU0075:="U01DC"
+CRCU01DC.=" TCgrvTdrssU0075"
+CDTCgrvSCompU0022U0075:="U01DC"
+CRCU01DC.=" TCgrvSCompU0022U0075"
CDTmcrnU00C4:="U01DE"
CRCU01DE.=" TmcrnU00C4"
CDSCompU00AFU00C4:="U01DE"
@@ -2260,28 +2267,28 @@ CDSCompU00B4U0047:="U01F4"
CRCU01F4.=" SCompU00B4U0047"
CDSCompU0027U0047:="U01F4"
CRCU01F4.=" SCompU0027U0047"
-CDU0000U0047:="U01F4"
-CRCU01F4.=" U0000U0047"
+CDTCactU0047:="U01F4"
+CRCU01F4.=" TCactU0047"
CDTacutU0067:="U01F5"
CRCU01F5.=" TacutU0067"
CDSCompU00B4U0067:="U01F5"
CRCU01F5.=" SCompU00B4U0067"
CDSCompU0027U0067:="U01F5"
CRCU01F5.=" SCompU0027U0067"
-CDU0000U0067:="U01F5"
-CRCU01F5.=" U0000U0067"
+CDTCactU0067:="U01F5"
+CRCU01F5.=" TCactU0067"
CDTgravU004E:="U01F8"
CRCU01F8.=" TgravU004E"
CDSCompU0060U004E:="U01F8"
CRCU01F8.=" SCompU0060U004E"
-CDU0000U004E:="U01F8"
-CRCU01F8.=" U0000U004E"
+CDTCgrvU004E:="U01F8"
+CRCU01F8.=" TCgrvU004E"
CDTgravU006E:="U01F9"
CRCU01F9.=" TgravU006E"
CDSCompU0060U006E:="U01F9"
CRCU01F9.=" SCompU0060U006E"
-CDU0000U006E:="U01F9"
-CRCU01F9.=" U0000U006E"
+CDTCgrvU006E:="U01F9"
+CRCU01F9.=" TCgrvU006E"
CDTacutU00C5:="U01FA"
CRCU01FA.=" TacutU00C5"
CDSCompU00B4U00C5:="U01FA"
@@ -2306,14 +2313,14 @@ CRCU01FA.=" SCompU0027TobrgU0041"
CMSCompU006FU0027:=1
CDSCompU006FU0027U0041:="U01FA"
CRCU01FA.=" SCompU006FU0027U0041"
-CDU0000U00C5:="U01FA"
-CRCU01FA.=" U0000U00C5"
-CMU0000Tobrg:=1
-CDU0000TobrgU0041:="U01FA"
-CRCU01FA.=" U0000TobrgU0041"
-CMU0000SCompU006F:=1
-CDU0000SCompU006FU0041:="U01FA"
-CRCU01FA.=" U0000SCompU006FU0041"
+CDTCactU00C5:="U01FA"
+CRCU01FA.=" TCactU00C5"
+CMTCactTobrg:=1
+CDTCactTobrgU0041:="U01FA"
+CRCU01FA.=" TCactTobrgU0041"
+CMTCactSCompU006F:=1
+CDTCactSCompU006FU0041:="U01FA"
+CRCU01FA.=" TCactSCompU006FU0041"
CDTacutU00E5:="U01FB"
CRCU01FB.=" TacutU00E5"
CDSCompU00B4U00E5:="U01FB"
@@ -2332,28 +2339,28 @@ CDSCompU0027TobrgU0061:="U01FB"
CRCU01FB.=" SCompU0027TobrgU0061"
CDSCompU006FU0027U0061:="U01FB"
CRCU01FB.=" SCompU006FU0027U0061"
-CDU0000U00E5:="U01FB"
-CRCU01FB.=" U0000U00E5"
-CDU0000TobrgU0061:="U01FB"
-CRCU01FB.=" U0000TobrgU0061"
-CDU0000SCompU006FU0061:="U01FB"
-CRCU01FB.=" U0000SCompU006FU0061"
+CDTCactU00E5:="U01FB"
+CRCU01FB.=" TCactU00E5"
+CDTCactTobrgU0061:="U01FB"
+CRCU01FB.=" TCactTobrgU0061"
+CDTCactSCompU006FU0061:="U01FB"
+CRCU01FB.=" TCactSCompU006FU0061"
CDTacutU00C6:="U01FC"
CRCU01FC.=" TacutU00C6"
CDSCompU00B4U00C6:="U01FC"
CRCU01FC.=" SCompU00B4U00C6"
CDSCompU0027U00C6:="U01FC"
CRCU01FC.=" SCompU0027U00C6"
-CDU0000U00C6:="U01FC"
-CRCU01FC.=" U0000U00C6"
+CDTCactU00C6:="U01FC"
+CRCU01FC.=" TCactU00C6"
CDTacutU00E6:="U01FD"
CRCU01FD.=" TacutU00E6"
CDSCompU00B4U00E6:="U01FD"
CRCU01FD.=" SCompU00B4U00E6"
CDSCompU0027U00E6:="U01FD"
CRCU01FD.=" SCompU0027U00E6"
-CDU0000U00E6:="U01FD"
-CRCU01FD.=" U0000U00E6"
+CDTCactU00E6:="U01FD"
+CRCU01FD.=" TCactU00E6"
CDTacutU00D8:="U01FE"
CRCU01FE.=" TacutU00D8"
CDSCompU00B4U00D8:="U01FE"
@@ -2378,14 +2385,14 @@ CRCU01FE.=" SCompU00B4SNDivU004F"
CMSCompU0027SNDiv:=1
CDSCompU0027SNDivU004F:="U01FE"
CRCU01FE.=" SCompU0027SNDivU004F"
-CDU0000U00D8:="U01FE"
-CRCU01FE.=" U0000U00D8"
-CMU0000SCompU002F:=1
-CDU0000SCompU002FU004F:="U01FE"
-CRCU01FE.=" U0000SCompU002FU004F"
-CMU0000SCompSNDiv:=1
-CDU0000SCompSNDivU004F:="U01FE"
-CRCU01FE.=" U0000SCompSNDivU004F"
+CDTCactU00D8:="U01FE"
+CRCU01FE.=" TCactU00D8"
+CMTCactSCompU002F:=1
+CDTCactSCompU002FU004F:="U01FE"
+CRCU01FE.=" TCactSCompU002FU004F"
+CMTCactSCompSNDiv:=1
+CDTCactSCompSNDivU004F:="U01FE"
+CRCU01FE.=" TCactSCompSNDivU004F"
CDTacutU00F8:="U01FF"
CRCU01FF.=" TacutU00F8"
CDSCompU00B4U00F8:="U01FF"
@@ -2404,12 +2411,12 @@ CDSCompU00B4SNDivU006F:="U01FF"
CRCU01FF.=" SCompU00B4SNDivU006F"
CDSCompU0027SNDivU006F:="U01FF"
CRCU01FF.=" SCompU0027SNDivU006F"
-CDU0000U00F8:="U01FF"
-CRCU01FF.=" U0000U00F8"
-CDU0000SCompU002FU006F:="U01FF"
-CRCU01FF.=" U0000SCompU002FU006F"
-CDU0000SCompSNDivU006F:="U01FF"
-CRCU01FF.=" U0000SCompSNDivU006F"
+CDTCactU00F8:="U01FF"
+CRCU01FF.=" TCactU00F8"
+CDTCactSCompU002FU006F:="U01FF"
+CRCU01FF.=" TCactSCompU002FU006F"
+CDTCactSCompSNDivU006F:="U01FF"
+CRCU01FF.=" TCactSCompSNDivU006F"
CMU030f:=1
CDU030fU0041:="U0200"
CRCU0200.=" U030fU0041"
@@ -2553,15 +2560,15 @@ CRCU022C.=" SCompU005FTtldeU004F"
CMSCompU005FU007E:=1
CDSCompU005FU007EU004F:="U022C"
CRCU022C.=" SCompU005FU007EU004F"
-CMTmcrnU0000:=1
-CDTmcrnU0000U004F:="U022C"
-CRCU022C.=" TmcrnU0000U004F"
-CMSCompU00AFU0000:=1
-CDSCompU00AFU0000U004F:="U022C"
-CRCU022C.=" SCompU00AFU0000U004F"
-CMSCompU005FU0000:=1
-CDSCompU005FU0000U004F:="U022C"
-CRCU022C.=" SCompU005FU0000U004F"
+CMTmcrnTCtld:=1
+CDTmcrnTCtldU004F:="U022C"
+CRCU022C.=" TmcrnTCtldU004F"
+CMSCompU00AFTCtld:=1
+CDSCompU00AFTCtldU004F:="U022C"
+CRCU022C.=" SCompU00AFTCtldU004F"
+CMSCompU005FTCtld:=1
+CDSCompU005FTCtldU004F:="U022C"
+CRCU022C.=" SCompU005FTCtldU004F"
CDTmcrnU00F5:="U022D"
CRCU022D.=" TmcrnU00F5"
CDSCompU00AFU00F5:="U022D"
@@ -2580,12 +2587,12 @@ CDSCompU005FTtldeU006F:="U022D"
CRCU022D.=" SCompU005FTtldeU006F"
CDSCompU005FU007EU006F:="U022D"
CRCU022D.=" SCompU005FU007EU006F"
-CDTmcrnU0000U006F:="U022D"
-CRCU022D.=" TmcrnU0000U006F"
-CDSCompU00AFU0000U006F:="U022D"
-CRCU022D.=" SCompU00AFU0000U006F"
-CDSCompU005FU0000U006F:="U022D"
-CRCU022D.=" SCompU005FU0000U006F"
+CDTmcrnTCtldU006F:="U022D"
+CRCU022D.=" TmcrnTCtldU006F"
+CDSCompU00AFTCtldU006F:="U022D"
+CRCU022D.=" SCompU00AFTCtldU006F"
+CDSCompU005FTCtldU006F:="U022D"
+CRCU022D.=" SCompU005FTCtldU006F"
CDTabdtU004F:="U022E"
CRCU022E.=" TabdtU004F"
CDSCompU002EU004F:="U022E"
@@ -2775,8 +2782,8 @@ CDSCompU0022U00B4:="U0344"
CRCU0344.=" SCompU0022U00B4"
CDSCompU0022U0027:="U0344"
CRCU0344.=" SCompU0022U0027"
-CDSCompU0022U0000:="U0344"
-CRCU0344.=" SCompU0022U0000"
+CDSCompU0022TCact:="U0344"
+CRCU0344.=" SCompU0022TCact"
CMSCompU00A8:=1
CDSCompU00A8Tacut:="U0385"
CRCU0385.=" SCompU00A8Tacut"
@@ -2784,64 +2791,64 @@ CDSCompU00A8U00B4:="U0385"
CRCU0385.=" SCompU00A8U00B4"
CDSCompU00A8U0027:="U0385"
CRCU0385.=" SCompU00A8U0027"
-CDSCompU00A8U0000:="U0385"
-CRCU0385.=" SCompU00A8U0000"
+CDSCompU00A8TCact:="U0385"
+CRCU0385.=" SCompU00A8TCact"
CDTacutU0391:="U0386"
CRCU0386.=" TacutU0391"
CDSCompU00B4U0391:="U0386"
CRCU0386.=" SCompU00B4U0391"
CDSCompU0027U0391:="U0386"
CRCU0386.=" SCompU0027U0391"
-CDU0000U0391:="U0386"
-CRCU0386.=" U0000U0391"
+CDTCactU0391:="U0386"
+CRCU0386.=" TCactU0391"
CDTacutU0395:="U0388"
CRCU0388.=" TacutU0395"
CDSCompU00B4U0395:="U0388"
CRCU0388.=" SCompU00B4U0395"
CDSCompU0027U0395:="U0388"
CRCU0388.=" SCompU0027U0395"
-CDU0000U0395:="U0388"
-CRCU0388.=" U0000U0395"
+CDTCactU0395:="U0388"
+CRCU0388.=" TCactU0395"
CDTacutU0397:="U0389"
CRCU0389.=" TacutU0397"
CDSCompU00B4U0397:="U0389"
CRCU0389.=" SCompU00B4U0397"
CDSCompU0027U0397:="U0389"
CRCU0389.=" SCompU0027U0397"
-CDU0000U0397:="U0389"
-CRCU0389.=" U0000U0397"
+CDTCactU0397:="U0389"
+CRCU0389.=" TCactU0397"
CDTacutU0399:="U038A"
CRCU038A.=" TacutU0399"
CDSCompU00B4U0399:="U038A"
CRCU038A.=" SCompU00B4U0399"
CDSCompU0027U0399:="U038A"
CRCU038A.=" SCompU0027U0399"
-CDU0000U0399:="U038A"
-CRCU038A.=" U0000U0399"
+CDTCactU0399:="U038A"
+CRCU038A.=" TCactU0399"
CDTacutU039F:="U038C"
CRCU038C.=" TacutU039F"
CDSCompU00B4U039F:="U038C"
CRCU038C.=" SCompU00B4U039F"
CDSCompU0027U039F:="U038C"
CRCU038C.=" SCompU0027U039F"
-CDU0000U039F:="U038C"
-CRCU038C.=" U0000U039F"
+CDTCactU039F:="U038C"
+CRCU038C.=" TCactU039F"
CDTacutU03A5:="U038E"
CRCU038E.=" TacutU03A5"
CDSCompU00B4U03A5:="U038E"
CRCU038E.=" SCompU00B4U03A5"
CDSCompU0027U03A5:="U038E"
CRCU038E.=" SCompU0027U03A5"
-CDU0000U03A5:="U038E"
-CRCU038E.=" U0000U03A5"
+CDTCactU03A5:="U038E"
+CRCU038E.=" TCactU03A5"
CDTacutU03A9:="U038F"
CRCU038F.=" TacutU03A9"
CDSCompU00B4U03A9:="U038F"
CRCU038F.=" SCompU00B4U03A9"
CDSCompU0027U03A9:="U038F"
CRCU038F.=" SCompU0027U03A9"
-CDU0000U03A9:="U038F"
-CRCU038F.=" U0000U03A9"
+CDTCactU03A9:="U038F"
+CRCU038F.=" TCactU03A9"
CDTacutU03CA:="U0390"
CRCU0390.=" TacutU03CA"
CDSCompU00B4U03CA:="U0390"
@@ -2860,12 +2867,12 @@ CDSCompU0027TdrssU03B9:="U0390"
CRCU0390.=" SCompU0027TdrssU03B9"
CDSCompU0027U0022U03B9:="U0390"
CRCU0390.=" SCompU0027U0022U03B9"
-CDU0000U03CA:="U0390"
-CRCU0390.=" U0000U03CA"
-CDU0000TdrssU03B9:="U0390"
-CRCU0390.=" U0000TdrssU03B9"
-CDU0000SCompU0022U03B9:="U0390"
-CRCU0390.=" U0000SCompU0022U03B9"
+CDTCactU03CA:="U0390"
+CRCU0390.=" TCactU03CA"
+CDTCactTdrssU03B9:="U0390"
+CRCU0390.=" TCactTdrssU03B9"
+CDTCactSCompU0022U03B9:="U0390"
+CRCU0390.=" TCactSCompU0022U03B9"
CDTdrssU0399:="U03AA"
CRCU03AA.=" TdrssU0399"
CDSCompU0022U0399:="U03AA"
@@ -2880,32 +2887,32 @@ CDSCompU00B4U03B1:="U03AC"
CRCU03AC.=" SCompU00B4U03B1"
CDSCompU0027U03B1:="U03AC"
CRCU03AC.=" SCompU0027U03B1"
-CDU0000U03B1:="U03AC"
-CRCU03AC.=" U0000U03B1"
+CDTCactU03B1:="U03AC"
+CRCU03AC.=" TCactU03B1"
CDTacutU03B5:="U03AD"
CRCU03AD.=" TacutU03B5"
CDSCompU00B4U03B5:="U03AD"
CRCU03AD.=" SCompU00B4U03B5"
CDSCompU0027U03B5:="U03AD"
CRCU03AD.=" SCompU0027U03B5"
-CDU0000U03B5:="U03AD"
-CRCU03AD.=" U0000U03B5"
+CDTCactU03B5:="U03AD"
+CRCU03AD.=" TCactU03B5"
CDTacutU03B7:="U03AE"
CRCU03AE.=" TacutU03B7"
CDSCompU00B4U03B7:="U03AE"
CRCU03AE.=" SCompU00B4U03B7"
CDSCompU0027U03B7:="U03AE"
CRCU03AE.=" SCompU0027U03B7"
-CDU0000U03B7:="U03AE"
-CRCU03AE.=" U0000U03B7"
+CDTCactU03B7:="U03AE"
+CRCU03AE.=" TCactU03B7"
CDTacutU03B9:="U03AF"
CRCU03AF.=" TacutU03B9"
CDSCompU00B4U03B9:="U03AF"
CRCU03AF.=" SCompU00B4U03B9"
CDSCompU0027U03B9:="U03AF"
CRCU03AF.=" SCompU0027U03B9"
-CDU0000U03B9:="U03AF"
-CRCU03AF.=" U0000U03B9"
+CDTCactU03B9:="U03AF"
+CRCU03AF.=" TCactU03B9"
CDTacutU03CB:="U03B0"
CRCU03B0.=" TacutU03CB"
CDSCompU00B4U03CB:="U03B0"
@@ -2924,12 +2931,12 @@ CDSCompU0027TdrssU03C5:="U03B0"
CRCU03B0.=" SCompU0027TdrssU03C5"
CDSCompU0027U0022U03C5:="U03B0"
CRCU03B0.=" SCompU0027U0022U03C5"
-CDU0000U03CB:="U03B0"
-CRCU03B0.=" U0000U03CB"
-CDU0000TdrssU03C5:="U03B0"
-CRCU03B0.=" U0000TdrssU03C5"
-CDU0000SCompU0022U03C5:="U03B0"
-CRCU03B0.=" U0000SCompU0022U03C5"
+CDTCactU03CB:="U03B0"
+CRCU03B0.=" TCactU03CB"
+CDTCactTdrssU03C5:="U03B0"
+CRCU03B0.=" TCactTdrssU03C5"
+CDTCactSCompU0022U03C5:="U03B0"
+CRCU03B0.=" TCactSCompU0022U03C5"
CDTdrssU03B9:="U03CA"
CRCU03CA.=" TdrssU03B9"
CDSCompU0022U03B9:="U03CA"
@@ -2944,24 +2951,24 @@ CDSCompU00B4U03BF:="U03CC"
CRCU03CC.=" SCompU00B4U03BF"
CDSCompU0027U03BF:="U03CC"
CRCU03CC.=" SCompU0027U03BF"
-CDU0000U03BF:="U03CC"
-CRCU03CC.=" U0000U03BF"
+CDTCactU03BF:="U03CC"
+CRCU03CC.=" TCactU03BF"
CDTacutU03C5:="U03CD"
CRCU03CD.=" TacutU03C5"
CDSCompU00B4U03C5:="U03CD"
CRCU03CD.=" SCompU00B4U03C5"
CDSCompU0027U03C5:="U03CD"
CRCU03CD.=" SCompU0027U03C5"
-CDU0000U03C5:="U03CD"
-CRCU03CD.=" U0000U03C5"
+CDTCactU03C5:="U03CD"
+CRCU03CD.=" TCactU03C5"
CDTacutU03C9:="U03CE"
CRCU03CE.=" TacutU03C9"
CDSCompU00B4U03C9:="U03CE"
CRCU03CE.=" SCompU00B4U03C9"
CDSCompU0027U03C9:="U03CE"
CRCU03CE.=" SCompU0027U03C9"
-CDU0000U03C9:="U03CE"
-CRCU03CE.=" U0000U03C9"
+CDTCactU03C9:="U03CE"
+CRCU03CE.=" TCactU03C9"
CDSCompU00B4U03d2:="U03D3"
CRCU03D3.=" SCompU00B4U03d2"
CDSCompU0027U03d2:="U03D3"
@@ -2972,8 +2979,8 @@ CDTgravU0415:="U0400"
CRCU0400.=" TgravU0415"
CDSCompU0060U0415:="U0400"
CRCU0400.=" SCompU0060U0415"
-CDU0000U0415:="U0400"
-CRCU0400.=" U0000U0415"
+CDTCgrvU0415:="U0400"
+CRCU0400.=" TCgrvU0415"
CDTdrssU0415:="U0401"
CRCU0401.=" TdrssU0415"
CDSCompU0022U0415:="U0401"
@@ -2984,8 +2991,8 @@ CDSCompU00B4U0413:="U0403"
CRCU0403.=" SCompU00B4U0413"
CDSCompU0027U0413:="U0403"
CRCU0403.=" SCompU0027U0413"
-CDU0000U0413:="U0403"
-CRCU0403.=" U0000U0413"
+CDTCactU0413:="U0403"
+CRCU0403.=" TCactU0413"
CDTdrssU0406:="U0407"
CRCU0407.=" TdrssU0406"
CDSCompU0022U0406:="U0407"
@@ -2996,14 +3003,14 @@ CDSCompU00B4U041A:="U040C"
CRCU040C.=" SCompU00B4U041A"
CDSCompU0027U041A:="U040C"
CRCU040C.=" SCompU0027U041A"
-CDU0000U041A:="U040C"
-CRCU040C.=" U0000U041A"
+CDTCactU041A:="U040C"
+CRCU040C.=" TCactU041A"
CDTgravU0418:="U040D"
CRCU040D.=" TgravU0418"
CDSCompU0060U0418:="U040D"
CRCU040D.=" SCompU0060U0418"
-CDU0000U0418:="U040D"
-CRCU040D.=" U0000U0418"
+CDTCgrvU0418:="U040D"
+CRCU040D.=" TCgrvU0418"
CDTbrveU0423:="U040E"
CRCU040E.=" TbrveU0423"
CDSCompU0055U0423:="U040E"
@@ -3026,8 +3033,8 @@ CDTgravU0435:="U0450"
CRCU0450.=" TgravU0435"
CDSCompU0060U0435:="U0450"
CRCU0450.=" SCompU0060U0435"
-CDU0000U0435:="U0450"
-CRCU0450.=" U0000U0435"
+CDTCgrvU0435:="U0450"
+CRCU0450.=" TCgrvU0435"
CDTdrssU0435:="U0451"
CRCU0451.=" TdrssU0435"
CDSCompU0022U0435:="U0451"
@@ -3038,8 +3045,8 @@ CDSCompU00B4U0433:="U0453"
CRCU0453.=" SCompU00B4U0433"
CDSCompU0027U0433:="U0453"
CRCU0453.=" SCompU0027U0433"
-CDU0000U0433:="U0453"
-CRCU0453.=" U0000U0433"
+CDTCactU0433:="U0453"
+CRCU0453.=" TCactU0433"
CDTdrssU0456:="U0457"
CRCU0457.=" TdrssU0456"
CDSCompU0022U0456:="U0457"
@@ -3050,14 +3057,14 @@ CDSCompU00B4U043A:="U045C"
CRCU045C.=" SCompU00B4U043A"
CDSCompU0027U043A:="U045C"
CRCU045C.=" SCompU0027U043A"
-CDU0000U043A:="U045C"
-CRCU045C.=" U0000U043A"
+CDTCactU043A:="U045C"
+CRCU045C.=" TCactU043A"
CDTgravU0438:="U045D"
CRCU045D.=" TgravU0438"
CDSCompU0060U0438:="U045D"
CRCU045D.=" SCompU0060U0438"
-CDU0000U0438:="U045D"
-CRCU045D.=" U0000U0438"
+CDTCgrvU0438:="U045D"
+CRCU045D.=" TCgrvU0438"
CDTbrveU0443:="U045E"
CRCU045E.=" TbrveU0443"
CDSCompU0055U0443:="U045E"
@@ -3444,14 +3451,15 @@ CDTbldtU0042:="U1E04"
CRCU1E04.=" TbldtU0042"
CDSCompU0021U0042:="U1E04"
CRCU1E04.=" SCompU0021U0042"
-CDU0000U0042:="U1E04"
-CRCU1E04.=" U0000U0042"
+CMTCbdt:=1
+CDTCbdtU0042:="U1E04"
+CRCU1E04.=" TCbdtU0042"
CDTbldtU0062:="U1E05"
CRCU1E05.=" TbldtU0062"
CDSCompU0021U0062:="U1E05"
CRCU1E05.=" SCompU0021U0062"
-CDU0000U0062:="U1E05"
-CRCU1E05.=" U0000U0062"
+CDTCbdtU0062:="U1E05"
+CRCU1E05.=" TCbdtU0062"
CMU0331:=1
CDU0331U0042:="U1E06"
CRCU1E06.=" U0331U0042"
@@ -3481,14 +3489,14 @@ CRCU1E08.=" SCompU0027TcediU0043"
CMSCompU0027U002C:=1
CDSCompU0027U002CU0043:="U1E08"
CRCU1E08.=" SCompU0027U002CU0043"
-CDU0000U00C7:="U1E08"
-CRCU1E08.=" U0000U00C7"
-CMU0000Tcedi:=1
-CDU0000TcediU0043:="U1E08"
-CRCU1E08.=" U0000TcediU0043"
-CMU0000SCompU002C:=1
-CDU0000SCompU002CU0043:="U1E08"
-CRCU1E08.=" U0000SCompU002CU0043"
+CDTCactU00C7:="U1E08"
+CRCU1E08.=" TCactU00C7"
+CMTCactTcedi:=1
+CDTCactTcediU0043:="U1E08"
+CRCU1E08.=" TCactTcediU0043"
+CMTCactSCompU002C:=1
+CDTCactSCompU002CU0043:="U1E08"
+CRCU1E08.=" TCactSCompU002CU0043"
CDTacutU00E7:="U1E09"
CRCU1E09.=" TacutU00E7"
CDSCompU00B4U00E7:="U1E09"
@@ -3507,12 +3515,12 @@ CDSCompU0027TcediU0063:="U1E09"
CRCU1E09.=" SCompU0027TcediU0063"
CDSCompU0027U002CU0063:="U1E09"
CRCU1E09.=" SCompU0027U002CU0063"
-CDU0000U00E7:="U1E09"
-CRCU1E09.=" U0000U00E7"
-CDU0000TcediU0063:="U1E09"
-CRCU1E09.=" U0000TcediU0063"
-CDU0000SCompU002CU0063:="U1E09"
-CRCU1E09.=" U0000SCompU002CU0063"
+CDTCactU00E7:="U1E09"
+CRCU1E09.=" TCactU00E7"
+CDTCactTcediU0063:="U1E09"
+CRCU1E09.=" TCactTcediU0063"
+CDTCactSCompU002CU0063:="U1E09"
+CRCU1E09.=" TCactSCompU002CU0063"
CDTabdtU0044:="U1E0A"
CRCU1E0A.=" TabdtU0044"
CDSCompU002EU0044:="U1E0A"
@@ -3525,14 +3533,14 @@ CDTbldtU0044:="U1E0C"
CRCU1E0C.=" TbldtU0044"
CDSCompU0021U0044:="U1E0C"
CRCU1E0C.=" SCompU0021U0044"
-CDU0000U0044:="U1E0C"
-CRCU1E0C.=" U0000U0044"
+CDTCbdtU0044:="U1E0C"
+CRCU1E0C.=" TCbdtU0044"
CDTbldtU0064:="U1E0D"
CRCU1E0D.=" TbldtU0064"
CDSCompU0021U0064:="U1E0D"
CRCU1E0D.=" SCompU0021U0064"
-CDU0000U0064:="U1E0D"
-CRCU1E0D.=" U0000U0064"
+CDTCbdtU0064:="U1E0D"
+CRCU1E0D.=" TCbdtU0064"
CDU0331U0044:="U1E0E"
CRCU1E0E.=" U0331U0044"
CDU0331U0064:="U1E0F"
@@ -3572,17 +3580,17 @@ CRCU1E14.=" SCompU0060U00AFU0045"
CMSCompU0060U005F:=1
CDSCompU0060U005FU0045:="U1E14"
CRCU1E14.=" SCompU0060U005FU0045"
-CDU0000U0112:="U1E14"
-CRCU1E14.=" U0000U0112"
-CMU0000Tmcrn:=1
-CDU0000TmcrnU0045:="U1E14"
-CRCU1E14.=" U0000TmcrnU0045"
-CMU0000SCompU00AF:=1
-CDU0000SCompU00AFU0045:="U1E14"
-CRCU1E14.=" U0000SCompU00AFU0045"
-CMU0000SCompU005F:=1
-CDU0000SCompU005FU0045:="U1E14"
-CRCU1E14.=" U0000SCompU005FU0045"
+CDTCgrvU0112:="U1E14"
+CRCU1E14.=" TCgrvU0112"
+CMTCgrvTmcrn:=1
+CDTCgrvTmcrnU0045:="U1E14"
+CRCU1E14.=" TCgrvTmcrnU0045"
+CMTCgrvSCompU00AF:=1
+CDTCgrvSCompU00AFU0045:="U1E14"
+CRCU1E14.=" TCgrvSCompU00AFU0045"
+CMTCgrvSCompU005F:=1
+CDTCgrvSCompU005FU0045:="U1E14"
+CRCU1E14.=" TCgrvSCompU005FU0045"
CDTgravU0113:="U1E15"
CRCU1E15.=" TgravU0113"
CDSCompU0060U0113:="U1E15"
@@ -3599,14 +3607,14 @@ CDSCompU0060U00AFU0065:="U1E15"
CRCU1E15.=" SCompU0060U00AFU0065"
CDSCompU0060U005FU0065:="U1E15"
CRCU1E15.=" SCompU0060U005FU0065"
-CDU0000U0113:="U1E15"
-CRCU1E15.=" U0000U0113"
-CDU0000TmcrnU0065:="U1E15"
-CRCU1E15.=" U0000TmcrnU0065"
-CDU0000SCompU00AFU0065:="U1E15"
-CRCU1E15.=" U0000SCompU00AFU0065"
-CDU0000SCompU005FU0065:="U1E15"
-CRCU1E15.=" U0000SCompU005FU0065"
+CDTCgrvU0113:="U1E15"
+CRCU1E15.=" TCgrvU0113"
+CDTCgrvTmcrnU0065:="U1E15"
+CRCU1E15.=" TCgrvTmcrnU0065"
+CDTCgrvSCompU00AFU0065:="U1E15"
+CRCU1E15.=" TCgrvSCompU00AFU0065"
+CDTCgrvSCompU005FU0065:="U1E15"
+CRCU1E15.=" TCgrvSCompU005FU0065"
CDTacutU0112:="U1E16"
CRCU1E16.=" TacutU0112"
CDSCompU00B4U0112:="U1E16"
@@ -3640,14 +3648,17 @@ CRCU1E16.=" SCompU0027U00AFU0045"
CMSCompU0027U005F:=1
CDSCompU0027U005FU0045:="U1E16"
CRCU1E16.=" SCompU0027U005FU0045"
-CDU0000U0112:="U1E16"
-CRCU1E16.=" U0000U0112"
-CDU0000TmcrnU0045:="U1E16"
-CRCU1E16.=" U0000TmcrnU0045"
-CDU0000SCompU00AFU0045:="U1E16"
-CRCU1E16.=" U0000SCompU00AFU0045"
-CDU0000SCompU005FU0045:="U1E16"
-CRCU1E16.=" U0000SCompU005FU0045"
+CDTCactU0112:="U1E16"
+CRCU1E16.=" TCactU0112"
+CMTCactTmcrn:=1
+CDTCactTmcrnU0045:="U1E16"
+CRCU1E16.=" TCactTmcrnU0045"
+CMTCactSCompU00AF:=1
+CDTCactSCompU00AFU0045:="U1E16"
+CRCU1E16.=" TCactSCompU00AFU0045"
+CMTCactSCompU005F:=1
+CDTCactSCompU005FU0045:="U1E16"
+CRCU1E16.=" TCactSCompU005FU0045"
CDTacutU0113:="U1E17"
CRCU1E17.=" TacutU0113"
CDSCompU00B4U0113:="U1E17"
@@ -3672,14 +3683,14 @@ CDSCompU0027U00AFU0065:="U1E17"
CRCU1E17.=" SCompU0027U00AFU0065"
CDSCompU0027U005FU0065:="U1E17"
CRCU1E17.=" SCompU0027U005FU0065"
-CDU0000U0113:="U1E17"
-CRCU1E17.=" U0000U0113"
-CDU0000TmcrnU0065:="U1E17"
-CRCU1E17.=" U0000TmcrnU0065"
-CDU0000SCompU00AFU0065:="U1E17"
-CRCU1E17.=" U0000SCompU00AFU0065"
-CDU0000SCompU005FU0065:="U1E17"
-CRCU1E17.=" U0000SCompU005FU0065"
+CDTCactU0113:="U1E17"
+CRCU1E17.=" TCactU0113"
+CDTCactTmcrnU0065:="U1E17"
+CRCU1E17.=" TCactTmcrnU0065"
+CDTCactSCompU00AFU0065:="U1E17"
+CRCU1E17.=" TCactSCompU00AFU0065"
+CDTCactSCompU005FU0065:="U1E17"
+CRCU1E17.=" TCactSCompU005FU0065"
CDU032dU0045:="U1E18"
CRCU1E18.=" U032dU0045"
CDU032dU0065:="U1E19"
@@ -3764,14 +3775,14 @@ CDTbldtU0048:="U1E24"
CRCU1E24.=" TbldtU0048"
CDSCompU0021U0048:="U1E24"
CRCU1E24.=" SCompU0021U0048"
-CDU0000U0048:="U1E24"
-CRCU1E24.=" U0000U0048"
+CDTCbdtU0048:="U1E24"
+CRCU1E24.=" TCbdtU0048"
CDTbldtU0068:="U1E25"
CRCU1E25.=" TbldtU0068"
CDSCompU0021U0068:="U1E25"
CRCU1E25.=" SCompU0021U0068"
-CDU0000U0068:="U1E25"
-CRCU1E25.=" U0000U0068"
+CDTCbdtU0068:="U1E25"
+CRCU1E25.=" TCbdtU0068"
CDTdrssU0048:="U1E26"
CRCU1E26.=" TdrssU0048"
CDSCompU0022U0048:="U1E26"
@@ -3815,12 +3826,12 @@ CDSCompU0027TdrssU0049:="U1E2E"
CRCU1E2E.=" SCompU0027TdrssU0049"
CDSCompU0027U0022U0049:="U1E2E"
CRCU1E2E.=" SCompU0027U0022U0049"
-CDU0000U00CF:="U1E2E"
-CRCU1E2E.=" U0000U00CF"
-CDU0000TdrssU0049:="U1E2E"
-CRCU1E2E.=" U0000TdrssU0049"
-CDU0000SCompU0022U0049:="U1E2E"
-CRCU1E2E.=" U0000SCompU0022U0049"
+CDTCactU00CF:="U1E2E"
+CRCU1E2E.=" TCactU00CF"
+CDTCactTdrssU0049:="U1E2E"
+CRCU1E2E.=" TCactTdrssU0049"
+CDTCactSCompU0022U0049:="U1E2E"
+CRCU1E2E.=" TCactSCompU0022U0049"
CDTacutU00EF:="U1E2F"
CRCU1E2F.=" TacutU00EF"
CDSCompU00B4U00EF:="U1E2F"
@@ -3839,40 +3850,40 @@ CDSCompU0027TdrssU0069:="U1E2F"
CRCU1E2F.=" SCompU0027TdrssU0069"
CDSCompU0027U0022U0069:="U1E2F"
CRCU1E2F.=" SCompU0027U0022U0069"
-CDU0000U00EF:="U1E2F"
-CRCU1E2F.=" U0000U00EF"
-CDU0000TdrssU0069:="U1E2F"
-CRCU1E2F.=" U0000TdrssU0069"
-CDU0000SCompU0022U0069:="U1E2F"
-CRCU1E2F.=" U0000SCompU0022U0069"
+CDTCactU00EF:="U1E2F"
+CRCU1E2F.=" TCactU00EF"
+CDTCactTdrssU0069:="U1E2F"
+CRCU1E2F.=" TCactTdrssU0069"
+CDTCactSCompU0022U0069:="U1E2F"
+CRCU1E2F.=" TCactSCompU0022U0069"
CDTacutU004B:="U1E30"
CRCU1E30.=" TacutU004B"
CDSCompU00B4U004B:="U1E30"
CRCU1E30.=" SCompU00B4U004B"
CDSCompU0027U004B:="U1E30"
CRCU1E30.=" SCompU0027U004B"
-CDU0000U004B:="U1E30"
-CRCU1E30.=" U0000U004B"
+CDTCactU004B:="U1E30"
+CRCU1E30.=" TCactU004B"
CDTacutU006B:="U1E31"
CRCU1E31.=" TacutU006B"
CDSCompU00B4U006B:="U1E31"
CRCU1E31.=" SCompU00B4U006B"
CDSCompU0027U006B:="U1E31"
CRCU1E31.=" SCompU0027U006B"
-CDU0000U006B:="U1E31"
-CRCU1E31.=" U0000U006B"
+CDTCactU006B:="U1E31"
+CRCU1E31.=" TCactU006B"
CDTbldtU004B:="U1E32"
CRCU1E32.=" TbldtU004B"
CDSCompU0021U004B:="U1E32"
CRCU1E32.=" SCompU0021U004B"
-CDU0000U004B:="U1E32"
-CRCU1E32.=" U0000U004B"
+CDTCbdtU004B:="U1E32"
+CRCU1E32.=" TCbdtU004B"
CDTbldtU006B:="U1E33"
CRCU1E33.=" TbldtU006B"
CDSCompU0021U006B:="U1E33"
CRCU1E33.=" SCompU0021U006B"
-CDU0000U006B:="U1E33"
-CRCU1E33.=" U0000U006B"
+CDTCbdtU006B:="U1E33"
+CRCU1E33.=" TCbdtU006B"
CDU0331U004B:="U1E34"
CRCU1E34.=" U0331U004B"
CDU0331U006B:="U1E35"
@@ -3881,14 +3892,14 @@ CDTbldtU004C:="U1E36"
CRCU1E36.=" TbldtU004C"
CDSCompU0021U004C:="U1E36"
CRCU1E36.=" SCompU0021U004C"
-CDU0000U004C:="U1E36"
-CRCU1E36.=" U0000U004C"
+CDTCbdtU004C:="U1E36"
+CRCU1E36.=" TCbdtU004C"
CDTbldtU006C:="U1E37"
CRCU1E37.=" TbldtU006C"
CDSCompU0021U006C:="U1E37"
CRCU1E37.=" SCompU0021U006C"
-CDU0000U006C:="U1E37"
-CRCU1E37.=" U0000U006C"
+CDTCbdtU006C:="U1E37"
+CRCU1E37.=" TCbdtU006C"
CDTmcrnU1e36:="U1E38"
CRCU1E38.=" TmcrnU1e36"
CDSCompU00AFU1e36:="U1E38"
@@ -3913,12 +3924,15 @@ CRCU1E38.=" SCompU005FTbldtU004C"
CMSCompU005FU0021:=1
CDSCompU005FU0021U004C:="U1E38"
CRCU1E38.=" SCompU005FU0021U004C"
-CDTmcrnU0000U004C:="U1E38"
-CRCU1E38.=" TmcrnU0000U004C"
-CDSCompU00AFU0000U004C:="U1E38"
-CRCU1E38.=" SCompU00AFU0000U004C"
-CDSCompU005FU0000U004C:="U1E38"
-CRCU1E38.=" SCompU005FU0000U004C"
+CMTmcrnTCbdt:=1
+CDTmcrnTCbdtU004C:="U1E38"
+CRCU1E38.=" TmcrnTCbdtU004C"
+CMSCompU00AFTCbdt:=1
+CDSCompU00AFTCbdtU004C:="U1E38"
+CRCU1E38.=" SCompU00AFTCbdtU004C"
+CMSCompU005FTCbdt:=1
+CDSCompU005FTCbdtU004C:="U1E38"
+CRCU1E38.=" SCompU005FTCbdtU004C"
CDTmcrnU1e37:="U1E39"
CRCU1E39.=" TmcrnU1e37"
CDSCompU00AFU1e37:="U1E39"
@@ -3937,12 +3951,12 @@ CDSCompU005FTbldtU006C:="U1E39"
CRCU1E39.=" SCompU005FTbldtU006C"
CDSCompU005FU0021U006C:="U1E39"
CRCU1E39.=" SCompU005FU0021U006C"
-CDTmcrnU0000U006C:="U1E39"
-CRCU1E39.=" TmcrnU0000U006C"
-CDSCompU00AFU0000U006C:="U1E39"
-CRCU1E39.=" SCompU00AFU0000U006C"
-CDSCompU005FU0000U006C:="U1E39"
-CRCU1E39.=" SCompU005FU0000U006C"
+CDTmcrnTCbdtU006C:="U1E39"
+CRCU1E39.=" TmcrnTCbdtU006C"
+CDSCompU00AFTCbdtU006C:="U1E39"
+CRCU1E39.=" SCompU00AFTCbdtU006C"
+CDSCompU005FTCbdtU006C:="U1E39"
+CRCU1E39.=" SCompU005FTCbdtU006C"
CDU0331U004C:="U1E3A"
CRCU1E3A.=" U0331U004C"
CDU0331U006C:="U1E3B"
@@ -3957,16 +3971,16 @@ CDSCompU00B4U004D:="U1E3E"
CRCU1E3E.=" SCompU00B4U004D"
CDSCompU0027U004D:="U1E3E"
CRCU1E3E.=" SCompU0027U004D"
-CDU0000U004D:="U1E3E"
-CRCU1E3E.=" U0000U004D"
+CDTCactU004D:="U1E3E"
+CRCU1E3E.=" TCactU004D"
CDTacutU006D:="U1E3F"
CRCU1E3F.=" TacutU006D"
CDSCompU00B4U006D:="U1E3F"
CRCU1E3F.=" SCompU00B4U006D"
CDSCompU0027U006D:="U1E3F"
CRCU1E3F.=" SCompU0027U006D"
-CDU0000U006D:="U1E3F"
-CRCU1E3F.=" U0000U006D"
+CDTCactU006D:="U1E3F"
+CRCU1E3F.=" TCactU006D"
CDTabdtU004D:="U1E40"
CRCU1E40.=" TabdtU004D"
CDSCompU002EU004D:="U1E40"
@@ -3979,14 +3993,14 @@ CDTbldtU004D:="U1E42"
CRCU1E42.=" TbldtU004D"
CDSCompU0021U004D:="U1E42"
CRCU1E42.=" SCompU0021U004D"
-CDU0000U004D:="U1E42"
-CRCU1E42.=" U0000U004D"
+CDTCbdtU004D:="U1E42"
+CRCU1E42.=" TCbdtU004D"
CDTbldtU006D:="U1E43"
CRCU1E43.=" TbldtU006D"
CDSCompU0021U006D:="U1E43"
CRCU1E43.=" SCompU0021U006D"
-CDU0000U006D:="U1E43"
-CRCU1E43.=" U0000U006D"
+CDTCbdtU006D:="U1E43"
+CRCU1E43.=" TCbdtU006D"
CDTabdtU004E:="U1E44"
CRCU1E44.=" TabdtU004E"
CDSCompU002EU004E:="U1E44"
@@ -3999,14 +4013,14 @@ CDTbldtU004E:="U1E46"
CRCU1E46.=" TbldtU004E"
CDSCompU0021U004E:="U1E46"
CRCU1E46.=" SCompU0021U004E"
-CDU0000U004E:="U1E46"
-CRCU1E46.=" U0000U004E"
+CDTCbdtU004E:="U1E46"
+CRCU1E46.=" TCbdtU004E"
CDTbldtU006E:="U1E47"
CRCU1E47.=" TbldtU006E"
CDSCompU0021U006E:="U1E47"
CRCU1E47.=" SCompU0021U006E"
-CDU0000U006E:="U1E47"
-CRCU1E47.=" U0000U006E"
+CDTCbdtU006E:="U1E47"
+CRCU1E47.=" TCbdtU006E"
CDU0331U004E:="U1E48"
CRCU1E48.=" U0331U004E"
CDU0331U006E:="U1E49"
@@ -4039,26 +4053,26 @@ CRCU1E4C.=" SCompU0027TtldeU004F"
CMSCompU0027U007E:=1
CDSCompU0027U007EU004F:="U1E4C"
CRCU1E4C.=" SCompU0027U007EU004F"
-CMTacutU0000:=1
-CDTacutU0000U004F:="U1E4C"
-CRCU1E4C.=" TacutU0000U004F"
-CMSCompU00B4U0000:=1
-CDSCompU00B4U0000U004F:="U1E4C"
-CRCU1E4C.=" SCompU00B4U0000U004F"
-CMSCompU0027U0000:=1
-CDSCompU0027U0000U004F:="U1E4C"
-CRCU1E4C.=" SCompU0027U0000U004F"
-CDU0000U00D5:="U1E4C"
-CRCU1E4C.=" U0000U00D5"
-CMU0000Ttlde:=1
-CDU0000TtldeU004F:="U1E4C"
-CRCU1E4C.=" U0000TtldeU004F"
-CMU0000SCompU007E:=1
-CDU0000SCompU007EU004F:="U1E4C"
-CRCU1E4C.=" U0000SCompU007EU004F"
-CMU0000U0000:=1
-CDU0000U0000U004F:="U1E4C"
-CRCU1E4C.=" U0000U0000U004F"
+CMTacutTCtld:=1
+CDTacutTCtldU004F:="U1E4C"
+CRCU1E4C.=" TacutTCtldU004F"
+CMSCompU00B4TCtld:=1
+CDSCompU00B4TCtldU004F:="U1E4C"
+CRCU1E4C.=" SCompU00B4TCtldU004F"
+CMSCompU0027TCtld:=1
+CDSCompU0027TCtldU004F:="U1E4C"
+CRCU1E4C.=" SCompU0027TCtldU004F"
+CDTCactU00D5:="U1E4C"
+CRCU1E4C.=" TCactU00D5"
+CMTCactTtlde:=1
+CDTCactTtldeU004F:="U1E4C"
+CRCU1E4C.=" TCactTtldeU004F"
+CMTCactSCompU007E:=1
+CDTCactSCompU007EU004F:="U1E4C"
+CRCU1E4C.=" TCactSCompU007EU004F"
+CMTCactTCtld:=1
+CDTCactTCtldU004F:="U1E4C"
+CRCU1E4C.=" TCactTCtldU004F"
CDTacutU00F5:="U1E4D"
CRCU1E4D.=" TacutU00F5"
CDSCompU00B4U00F5:="U1E4D"
@@ -4077,20 +4091,20 @@ CDSCompU0027TtldeU006F:="U1E4D"
CRCU1E4D.=" SCompU0027TtldeU006F"
CDSCompU0027U007EU006F:="U1E4D"
CRCU1E4D.=" SCompU0027U007EU006F"
-CDTacutU0000U006F:="U1E4D"
-CRCU1E4D.=" TacutU0000U006F"
-CDSCompU00B4U0000U006F:="U1E4D"
-CRCU1E4D.=" SCompU00B4U0000U006F"
-CDSCompU0027U0000U006F:="U1E4D"
-CRCU1E4D.=" SCompU0027U0000U006F"
-CDU0000U00F5:="U1E4D"
-CRCU1E4D.=" U0000U00F5"
-CDU0000TtldeU006F:="U1E4D"
-CRCU1E4D.=" U0000TtldeU006F"
-CDU0000SCompU007EU006F:="U1E4D"
-CRCU1E4D.=" U0000SCompU007EU006F"
-CDU0000U0000U006F:="U1E4D"
-CRCU1E4D.=" U0000U0000U006F"
+CDTacutTCtldU006F:="U1E4D"
+CRCU1E4D.=" TacutTCtldU006F"
+CDSCompU00B4TCtldU006F:="U1E4D"
+CRCU1E4D.=" SCompU00B4TCtldU006F"
+CDSCompU0027TCtldU006F:="U1E4D"
+CRCU1E4D.=" SCompU0027TCtldU006F"
+CDTCactU00F5:="U1E4D"
+CRCU1E4D.=" TCactU00F5"
+CDTCactTtldeU006F:="U1E4D"
+CRCU1E4D.=" TCactTtldeU006F"
+CDTCactSCompU007EU006F:="U1E4D"
+CRCU1E4D.=" TCactSCompU007EU006F"
+CDTCactTCtldU006F:="U1E4D"
+CRCU1E4D.=" TCactTCtldU006F"
CDTdrssU00D5:="U1E4E"
CRCU1E4E.=" TdrssU00D5"
CDSCompU0022U00D5:="U1E4E"
@@ -4108,12 +4122,12 @@ CRCU1E4E.=" SCompU0022TtldeU004F"
CMSCompU0022U007E:=1
CDSCompU0022U007EU004F:="U1E4E"
CRCU1E4E.=" SCompU0022U007EU004F"
-CMTdrssU0000:=1
-CDTdrssU0000U004F:="U1E4E"
-CRCU1E4E.=" TdrssU0000U004F"
-CMSCompU0022U0000:=1
-CDSCompU0022U0000U004F:="U1E4E"
-CRCU1E4E.=" SCompU0022U0000U004F"
+CMTdrssTCtld:=1
+CDTdrssTCtldU004F:="U1E4E"
+CRCU1E4E.=" TdrssTCtldU004F"
+CMSCompU0022TCtld:=1
+CDSCompU0022TCtldU004F:="U1E4E"
+CRCU1E4E.=" SCompU0022TCtldU004F"
CDTdrssU00F5:="U1E4F"
CRCU1E4F.=" TdrssU00F5"
CDSCompU0022U00F5:="U1E4F"
@@ -4126,10 +4140,10 @@ CDSCompU0022TtldeU006F:="U1E4F"
CRCU1E4F.=" SCompU0022TtldeU006F"
CDSCompU0022U007EU006F:="U1E4F"
CRCU1E4F.=" SCompU0022U007EU006F"
-CDTdrssU0000U006F:="U1E4F"
-CRCU1E4F.=" TdrssU0000U006F"
-CDSCompU0022U0000U006F:="U1E4F"
-CRCU1E4F.=" SCompU0022U0000U006F"
+CDTdrssTCtldU006F:="U1E4F"
+CRCU1E4F.=" TdrssTCtldU006F"
+CDSCompU0022TCtldU006F:="U1E4F"
+CRCU1E4F.=" SCompU0022TCtldU006F"
CDTgravU014C:="U1E50"
CRCU1E50.=" TgravU014C"
CDSCompU0060U014C:="U1E50"
@@ -4146,14 +4160,14 @@ CDSCompU0060U00AFU004F:="U1E50"
CRCU1E50.=" SCompU0060U00AFU004F"
CDSCompU0060U005FU004F:="U1E50"
CRCU1E50.=" SCompU0060U005FU004F"
-CDU0000U014C:="U1E50"
-CRCU1E50.=" U0000U014C"
-CDU0000TmcrnU004F:="U1E50"
-CRCU1E50.=" U0000TmcrnU004F"
-CDU0000SCompU00AFU004F:="U1E50"
-CRCU1E50.=" U0000SCompU00AFU004F"
-CDU0000SCompU005FU004F:="U1E50"
-CRCU1E50.=" U0000SCompU005FU004F"
+CDTCgrvU014C:="U1E50"
+CRCU1E50.=" TCgrvU014C"
+CDTCgrvTmcrnU004F:="U1E50"
+CRCU1E50.=" TCgrvTmcrnU004F"
+CDTCgrvSCompU00AFU004F:="U1E50"
+CRCU1E50.=" TCgrvSCompU00AFU004F"
+CDTCgrvSCompU005FU004F:="U1E50"
+CRCU1E50.=" TCgrvSCompU005FU004F"
CDTgravU014D:="U1E51"
CRCU1E51.=" TgravU014D"
CDSCompU0060U014D:="U1E51"
@@ -4170,14 +4184,14 @@ CDSCompU0060U00AFU006F:="U1E51"
CRCU1E51.=" SCompU0060U00AFU006F"
CDSCompU0060U005FU006F:="U1E51"
CRCU1E51.=" SCompU0060U005FU006F"
-CDU0000U014D:="U1E51"
-CRCU1E51.=" U0000U014D"
-CDU0000TmcrnU006F:="U1E51"
-CRCU1E51.=" U0000TmcrnU006F"
-CDU0000SCompU00AFU006F:="U1E51"
-CRCU1E51.=" U0000SCompU00AFU006F"
-CDU0000SCompU005FU006F:="U1E51"
-CRCU1E51.=" U0000SCompU005FU006F"
+CDTCgrvU014D:="U1E51"
+CRCU1E51.=" TCgrvU014D"
+CDTCgrvTmcrnU006F:="U1E51"
+CRCU1E51.=" TCgrvTmcrnU006F"
+CDTCgrvSCompU00AFU006F:="U1E51"
+CRCU1E51.=" TCgrvSCompU00AFU006F"
+CDTCgrvSCompU005FU006F:="U1E51"
+CRCU1E51.=" TCgrvSCompU005FU006F"
CDTacutU014C:="U1E52"
CRCU1E52.=" TacutU014C"
CDSCompU00B4U014C:="U1E52"
@@ -4202,14 +4216,14 @@ CDSCompU0027U00AFU004F:="U1E52"
CRCU1E52.=" SCompU0027U00AFU004F"
CDSCompU0027U005FU004F:="U1E52"
CRCU1E52.=" SCompU0027U005FU004F"
-CDU0000U014C:="U1E52"
-CRCU1E52.=" U0000U014C"
-CDU0000TmcrnU004F:="U1E52"
-CRCU1E52.=" U0000TmcrnU004F"
-CDU0000SCompU00AFU004F:="U1E52"
-CRCU1E52.=" U0000SCompU00AFU004F"
-CDU0000SCompU005FU004F:="U1E52"
-CRCU1E52.=" U0000SCompU005FU004F"
+CDTCactU014C:="U1E52"
+CRCU1E52.=" TCactU014C"
+CDTCactTmcrnU004F:="U1E52"
+CRCU1E52.=" TCactTmcrnU004F"
+CDTCactSCompU00AFU004F:="U1E52"
+CRCU1E52.=" TCactSCompU00AFU004F"
+CDTCactSCompU005FU004F:="U1E52"
+CRCU1E52.=" TCactSCompU005FU004F"
CDTacutU014D:="U1E53"
CRCU1E53.=" TacutU014D"
CDSCompU00B4U014D:="U1E53"
@@ -4234,30 +4248,30 @@ CDSCompU0027U00AFU006F:="U1E53"
CRCU1E53.=" SCompU0027U00AFU006F"
CDSCompU0027U005FU006F:="U1E53"
CRCU1E53.=" SCompU0027U005FU006F"
-CDU0000U014D:="U1E53"
-CRCU1E53.=" U0000U014D"
-CDU0000TmcrnU006F:="U1E53"
-CRCU1E53.=" U0000TmcrnU006F"
-CDU0000SCompU00AFU006F:="U1E53"
-CRCU1E53.=" U0000SCompU00AFU006F"
-CDU0000SCompU005FU006F:="U1E53"
-CRCU1E53.=" U0000SCompU005FU006F"
+CDTCactU014D:="U1E53"
+CRCU1E53.=" TCactU014D"
+CDTCactTmcrnU006F:="U1E53"
+CRCU1E53.=" TCactTmcrnU006F"
+CDTCactSCompU00AFU006F:="U1E53"
+CRCU1E53.=" TCactSCompU00AFU006F"
+CDTCactSCompU005FU006F:="U1E53"
+CRCU1E53.=" TCactSCompU005FU006F"
CDTacutU0050:="U1E54"
CRCU1E54.=" TacutU0050"
CDSCompU00B4U0050:="U1E54"
CRCU1E54.=" SCompU00B4U0050"
CDSCompU0027U0050:="U1E54"
CRCU1E54.=" SCompU0027U0050"
-CDU0000U0050:="U1E54"
-CRCU1E54.=" U0000U0050"
+CDTCactU0050:="U1E54"
+CRCU1E54.=" TCactU0050"
CDTacutU0070:="U1E55"
CRCU1E55.=" TacutU0070"
CDSCompU00B4U0070:="U1E55"
CRCU1E55.=" SCompU00B4U0070"
CDSCompU0027U0070:="U1E55"
CRCU1E55.=" SCompU0027U0070"
-CDU0000U0070:="U1E55"
-CRCU1E55.=" U0000U0070"
+CDTCactU0070:="U1E55"
+CRCU1E55.=" TCactU0070"
CDTabdtU0050:="U1E56"
CRCU1E56.=" TabdtU0050"
CDSCompU002EU0050:="U1E56"
@@ -4278,14 +4292,14 @@ CDTbldtU0052:="U1E5A"
CRCU1E5A.=" TbldtU0052"
CDSCompU0021U0052:="U1E5A"
CRCU1E5A.=" SCompU0021U0052"
-CDU0000U0052:="U1E5A"
-CRCU1E5A.=" U0000U0052"
+CDTCbdtU0052:="U1E5A"
+CRCU1E5A.=" TCbdtU0052"
CDTbldtU0072:="U1E5B"
CRCU1E5B.=" TbldtU0072"
CDSCompU0021U0072:="U1E5B"
CRCU1E5B.=" SCompU0021U0072"
-CDU0000U0072:="U1E5B"
-CRCU1E5B.=" U0000U0072"
+CDTCbdtU0072:="U1E5B"
+CRCU1E5B.=" TCbdtU0072"
CDTmcrnU1e5a:="U1E5C"
CRCU1E5C.=" TmcrnU1e5a"
CDSCompU00AFU1e5a:="U1E5C"
@@ -4304,12 +4318,12 @@ CDSCompU005FTbldtU0052:="U1E5C"
CRCU1E5C.=" SCompU005FTbldtU0052"
CDSCompU005FU0021U0052:="U1E5C"
CRCU1E5C.=" SCompU005FU0021U0052"
-CDTmcrnU0000U0052:="U1E5C"
-CRCU1E5C.=" TmcrnU0000U0052"
-CDSCompU00AFU0000U0052:="U1E5C"
-CRCU1E5C.=" SCompU00AFU0000U0052"
-CDSCompU005FU0000U0052:="U1E5C"
-CRCU1E5C.=" SCompU005FU0000U0052"
+CDTmcrnTCbdtU0052:="U1E5C"
+CRCU1E5C.=" TmcrnTCbdtU0052"
+CDSCompU00AFTCbdtU0052:="U1E5C"
+CRCU1E5C.=" SCompU00AFTCbdtU0052"
+CDSCompU005FTCbdtU0052:="U1E5C"
+CRCU1E5C.=" SCompU005FTCbdtU0052"
CDTmcrnU1e5b:="U1E5D"
CRCU1E5D.=" TmcrnU1e5b"
CDSCompU00AFU1e5b:="U1E5D"
@@ -4328,12 +4342,12 @@ CDSCompU005FTbldtU0072:="U1E5D"
CRCU1E5D.=" SCompU005FTbldtU0072"
CDSCompU005FU0021U0072:="U1E5D"
CRCU1E5D.=" SCompU005FU0021U0072"
-CDTmcrnU0000U0072:="U1E5D"
-CRCU1E5D.=" TmcrnU0000U0072"
-CDSCompU00AFU0000U0072:="U1E5D"
-CRCU1E5D.=" SCompU00AFU0000U0072"
-CDSCompU005FU0000U0072:="U1E5D"
-CRCU1E5D.=" SCompU005FU0000U0072"
+CDTmcrnTCbdtU0072:="U1E5D"
+CRCU1E5D.=" TmcrnTCbdtU0072"
+CDSCompU00AFTCbdtU0072:="U1E5D"
+CRCU1E5D.=" SCompU00AFTCbdtU0072"
+CDSCompU005FTCbdtU0072:="U1E5D"
+CRCU1E5D.=" SCompU005FTCbdtU0072"
CDU0331U0052:="U1E5E"
CRCU1E5E.=" U0331U0052"
CDU0331U0072:="U1E5F"
@@ -4350,14 +4364,14 @@ CDTbldtU0053:="U1E62"
CRCU1E62.=" TbldtU0053"
CDSCompU0021U0053:="U1E62"
CRCU1E62.=" SCompU0021U0053"
-CDU0000U0053:="U1E62"
-CRCU1E62.=" U0000U0053"
+CDTCbdtU0053:="U1E62"
+CRCU1E62.=" TCbdtU0053"
CDTbldtU0073:="U1E63"
CRCU1E63.=" TbldtU0073"
CDSCompU0021U0073:="U1E63"
CRCU1E63.=" SCompU0021U0073"
-CDU0000U0073:="U1E63"
-CRCU1E63.=" U0000U0073"
+CDTCbdtU0073:="U1E63"
+CRCU1E63.=" TCbdtU0073"
CDTabdtU015A:="U1E64"
CRCU1E64.=" TabdtU015A"
CDSCompU002EU015A:="U1E64"
@@ -4381,12 +4395,12 @@ CRCU1E64.=" SCompU002EU00B4U0053"
CMSCompU002EU0027:=1
CDSCompU002EU0027U0053:="U1E64"
CRCU1E64.=" SCompU002EU0027U0053"
-CMTabdtU0000:=1
-CDTabdtU0000U0053:="U1E64"
-CRCU1E64.=" TabdtU0000U0053"
-CMSCompU002EU0000:=1
-CDSCompU002EU0000U0053:="U1E64"
-CRCU1E64.=" SCompU002EU0000U0053"
+CMTabdtTCact:=1
+CDTabdtTCactU0053:="U1E64"
+CRCU1E64.=" TabdtTCactU0053"
+CMSCompU002ETCact:=1
+CDSCompU002ETCactU0053:="U1E64"
+CRCU1E64.=" SCompU002ETCactU0053"
CDTabdtU015B:="U1E65"
CRCU1E65.=" TabdtU015B"
CDSCompU002EU015B:="U1E65"
@@ -4403,10 +4417,10 @@ CDSCompU002EU00B4U0073:="U1E65"
CRCU1E65.=" SCompU002EU00B4U0073"
CDSCompU002EU0027U0073:="U1E65"
CRCU1E65.=" SCompU002EU0027U0073"
-CDTabdtU0000U0073:="U1E65"
-CRCU1E65.=" TabdtU0000U0073"
-CDSCompU002EU0000U0073:="U1E65"
-CRCU1E65.=" SCompU002EU0000U0073"
+CDTabdtTCactU0073:="U1E65"
+CRCU1E65.=" TabdtTCactU0073"
+CDSCompU002ETCactU0073:="U1E65"
+CRCU1E65.=" SCompU002ETCactU0073"
CDTabdtU0160:="U1E66"
CRCU1E66.=" TabdtU0160"
CDSCompU002EU0160:="U1E66"
@@ -4451,10 +4465,12 @@ CRCU1E68.=" SCompU002ETbldtU0053"
CMSCompU002EU0021:=1
CDSCompU002EU0021U0053:="U1E68"
CRCU1E68.=" SCompU002EU0021U0053"
-CDTabdtU0000U0053:="U1E68"
-CRCU1E68.=" TabdtU0000U0053"
-CDSCompU002EU0000U0053:="U1E68"
-CRCU1E68.=" SCompU002EU0000U0053"
+CMTabdtTCbdt:=1
+CDTabdtTCbdtU0053:="U1E68"
+CRCU1E68.=" TabdtTCbdtU0053"
+CMSCompU002ETCbdt:=1
+CDSCompU002ETCbdtU0053:="U1E68"
+CRCU1E68.=" SCompU002ETCbdtU0053"
CDTabdtU1e63:="U1E69"
CRCU1E69.=" TabdtU1e63"
CDSCompU002EU1e63:="U1E69"
@@ -4467,10 +4483,10 @@ CDSCompU002ETbldtU0073:="U1E69"
CRCU1E69.=" SCompU002ETbldtU0073"
CDSCompU002EU0021U0073:="U1E69"
CRCU1E69.=" SCompU002EU0021U0073"
-CDTabdtU0000U0073:="U1E69"
-CRCU1E69.=" TabdtU0000U0073"
-CDSCompU002EU0000U0073:="U1E69"
-CRCU1E69.=" SCompU002EU0000U0073"
+CDTabdtTCbdtU0073:="U1E69"
+CRCU1E69.=" TabdtTCbdtU0073"
+CDSCompU002ETCbdtU0073:="U1E69"
+CRCU1E69.=" SCompU002ETCbdtU0073"
CDTabdtU0054:="U1E6A"
CRCU1E6A.=" TabdtU0054"
CDSCompU002EU0054:="U1E6A"
@@ -4483,14 +4499,14 @@ CDTbldtU0054:="U1E6C"
CRCU1E6C.=" TbldtU0054"
CDSCompU0021U0054:="U1E6C"
CRCU1E6C.=" SCompU0021U0054"
-CDU0000U0054:="U1E6C"
-CRCU1E6C.=" U0000U0054"
+CDTCbdtU0054:="U1E6C"
+CRCU1E6C.=" TCbdtU0054"
CDTbldtU0074:="U1E6D"
CRCU1E6D.=" TbldtU0074"
CDSCompU0021U0074:="U1E6D"
CRCU1E6D.=" SCompU0021U0074"
-CDU0000U0074:="U1E6D"
-CRCU1E6D.=" U0000U0074"
+CDTCbdtU0074:="U1E6D"
+CRCU1E6D.=" TCbdtU0074"
CDU0331U0054:="U1E6E"
CRCU1E6E.=" U0331U0054"
CDU0331U0074:="U1E6F"
@@ -4530,20 +4546,20 @@ CDSCompU0027TtldeU0055:="U1E78"
CRCU1E78.=" SCompU0027TtldeU0055"
CDSCompU0027U007EU0055:="U1E78"
CRCU1E78.=" SCompU0027U007EU0055"
-CDTacutU0000U0055:="U1E78"
-CRCU1E78.=" TacutU0000U0055"
-CDSCompU00B4U0000U0055:="U1E78"
-CRCU1E78.=" SCompU00B4U0000U0055"
-CDSCompU0027U0000U0055:="U1E78"
-CRCU1E78.=" SCompU0027U0000U0055"
-CDU0000U0168:="U1E78"
-CRCU1E78.=" U0000U0168"
-CDU0000TtldeU0055:="U1E78"
-CRCU1E78.=" U0000TtldeU0055"
-CDU0000SCompU007EU0055:="U1E78"
-CRCU1E78.=" U0000SCompU007EU0055"
-CDU0000U0000U0055:="U1E78"
-CRCU1E78.=" U0000U0000U0055"
+CDTacutTCtldU0055:="U1E78"
+CRCU1E78.=" TacutTCtldU0055"
+CDSCompU00B4TCtldU0055:="U1E78"
+CRCU1E78.=" SCompU00B4TCtldU0055"
+CDSCompU0027TCtldU0055:="U1E78"
+CRCU1E78.=" SCompU0027TCtldU0055"
+CDTCactU0168:="U1E78"
+CRCU1E78.=" TCactU0168"
+CDTCactTtldeU0055:="U1E78"
+CRCU1E78.=" TCactTtldeU0055"
+CDTCactSCompU007EU0055:="U1E78"
+CRCU1E78.=" TCactSCompU007EU0055"
+CDTCactTCtldU0055:="U1E78"
+CRCU1E78.=" TCactTCtldU0055"
CDTacutU0169:="U1E79"
CRCU1E79.=" TacutU0169"
CDSCompU00B4U0169:="U1E79"
@@ -4562,20 +4578,20 @@ CDSCompU0027TtldeU0075:="U1E79"
CRCU1E79.=" SCompU0027TtldeU0075"
CDSCompU0027U007EU0075:="U1E79"
CRCU1E79.=" SCompU0027U007EU0075"
-CDTacutU0000U0075:="U1E79"
-CRCU1E79.=" TacutU0000U0075"
-CDSCompU00B4U0000U0075:="U1E79"
-CRCU1E79.=" SCompU00B4U0000U0075"
-CDSCompU0027U0000U0075:="U1E79"
-CRCU1E79.=" SCompU0027U0000U0075"
-CDU0000U0169:="U1E79"
-CRCU1E79.=" U0000U0169"
-CDU0000TtldeU0075:="U1E79"
-CRCU1E79.=" U0000TtldeU0075"
-CDU0000SCompU007EU0075:="U1E79"
-CRCU1E79.=" U0000SCompU007EU0075"
-CDU0000U0000U0075:="U1E79"
-CRCU1E79.=" U0000U0000U0075"
+CDTacutTCtldU0075:="U1E79"
+CRCU1E79.=" TacutTCtldU0075"
+CDSCompU00B4TCtldU0075:="U1E79"
+CRCU1E79.=" SCompU00B4TCtldU0075"
+CDSCompU0027TCtldU0075:="U1E79"
+CRCU1E79.=" SCompU0027TCtldU0075"
+CDTCactU0169:="U1E79"
+CRCU1E79.=" TCactU0169"
+CDTCactTtldeU0075:="U1E79"
+CRCU1E79.=" TCactTtldeU0075"
+CDTCactSCompU007EU0075:="U1E79"
+CRCU1E79.=" TCactSCompU007EU0075"
+CDTCactTCtldU0075:="U1E79"
+CRCU1E79.=" TCactTCtldU0075"
CDTdrssU016A:="U1E7A"
CRCU1E7A.=" TdrssU016A"
CDSCompU0022U016A:="U1E7A"
@@ -4618,54 +4634,54 @@ CDTtldeU0056:="U1E7C"
CRCU1E7C.=" TtldeU0056"
CDSCompU007EU0056:="U1E7C"
CRCU1E7C.=" SCompU007EU0056"
-CDU0000U0056:="U1E7C"
-CRCU1E7C.=" U0000U0056"
+CDTCtldU0056:="U1E7C"
+CRCU1E7C.=" TCtldU0056"
CDTtldeU0076:="U1E7D"
CRCU1E7D.=" TtldeU0076"
CDSCompU007EU0076:="U1E7D"
CRCU1E7D.=" SCompU007EU0076"
-CDU0000U0076:="U1E7D"
-CRCU1E7D.=" U0000U0076"
+CDTCtldU0076:="U1E7D"
+CRCU1E7D.=" TCtldU0076"
CDTbldtU0056:="U1E7E"
CRCU1E7E.=" TbldtU0056"
CDSCompU0021U0056:="U1E7E"
CRCU1E7E.=" SCompU0021U0056"
-CDU0000U0056:="U1E7E"
-CRCU1E7E.=" U0000U0056"
+CDTCbdtU0056:="U1E7E"
+CRCU1E7E.=" TCbdtU0056"
CDTbldtU0076:="U1E7F"
CRCU1E7F.=" TbldtU0076"
CDSCompU0021U0076:="U1E7F"
CRCU1E7F.=" SCompU0021U0076"
-CDU0000U0076:="U1E7F"
-CRCU1E7F.=" U0000U0076"
+CDTCbdtU0076:="U1E7F"
+CRCU1E7F.=" TCbdtU0076"
CDTgravU0057:="U1E80"
CRCU1E80.=" TgravU0057"
CDSCompU0060U0057:="U1E80"
CRCU1E80.=" SCompU0060U0057"
-CDU0000U0057:="U1E80"
-CRCU1E80.=" U0000U0057"
+CDTCgrvU0057:="U1E80"
+CRCU1E80.=" TCgrvU0057"
CDTgravU0077:="U1E81"
CRCU1E81.=" TgravU0077"
CDSCompU0060U0077:="U1E81"
CRCU1E81.=" SCompU0060U0077"
-CDU0000U0077:="U1E81"
-CRCU1E81.=" U0000U0077"
+CDTCgrvU0077:="U1E81"
+CRCU1E81.=" TCgrvU0077"
CDTacutU0057:="U1E82"
CRCU1E82.=" TacutU0057"
CDSCompU00B4U0057:="U1E82"
CRCU1E82.=" SCompU00B4U0057"
CDSCompU0027U0057:="U1E82"
CRCU1E82.=" SCompU0027U0057"
-CDU0000U0057:="U1E82"
-CRCU1E82.=" U0000U0057"
+CDTCactU0057:="U1E82"
+CRCU1E82.=" TCactU0057"
CDTacutU0077:="U1E83"
CRCU1E83.=" TacutU0077"
CDSCompU00B4U0077:="U1E83"
CRCU1E83.=" SCompU00B4U0077"
CDSCompU0027U0077:="U1E83"
CRCU1E83.=" SCompU0027U0077"
-CDU0000U0077:="U1E83"
-CRCU1E83.=" U0000U0077"
+CDTCactU0077:="U1E83"
+CRCU1E83.=" TCactU0077"
CDTdrssU0057:="U1E84"
CRCU1E84.=" TdrssU0057"
CDSCompU0022U0057:="U1E84"
@@ -4686,14 +4702,14 @@ CDTbldtU0057:="U1E88"
CRCU1E88.=" TbldtU0057"
CDSCompU0021U0057:="U1E88"
CRCU1E88.=" SCompU0021U0057"
-CDU0000U0057:="U1E88"
-CRCU1E88.=" U0000U0057"
+CDTCbdtU0057:="U1E88"
+CRCU1E88.=" TCbdtU0057"
CDTbldtU0077:="U1E89"
CRCU1E89.=" TbldtU0077"
CDSCompU0021U0077:="U1E89"
CRCU1E89.=" SCompU0021U0077"
-CDU0000U0077:="U1E89"
-CRCU1E89.=" U0000U0077"
+CDTCbdtU0077:="U1E89"
+CRCU1E89.=" TCbdtU0077"
CDTabdtU0058:="U1E8A"
CRCU1E8A.=" TabdtU0058"
CDSCompU002EU0058:="U1E8A"
@@ -4730,14 +4746,14 @@ CDTbldtU005A:="U1E92"
CRCU1E92.=" TbldtU005A"
CDSCompU0021U005A:="U1E92"
CRCU1E92.=" SCompU0021U005A"
-CDU0000U005A:="U1E92"
-CRCU1E92.=" U0000U005A"
+CDTCbdtU005A:="U1E92"
+CRCU1E92.=" TCbdtU005A"
CDTbldtU007A:="U1E93"
CRCU1E93.=" TbldtU007A"
CDSCompU0021U007A:="U1E93"
CRCU1E93.=" SCompU0021U007A"
-CDU0000U007A:="U1E93"
-CRCU1E93.=" U0000U007A"
+CDTCbdtU007A:="U1E93"
+CRCU1E93.=" TCbdtU007A"
CDU0331U005A:="U1E94"
CRCU1E94.=" U0331U005A"
CDU0331U007A:="U1E95"
@@ -4764,26 +4780,28 @@ CDTbldtU0041:="U1EA0"
CRCU1EA0.=" TbldtU0041"
CDSCompU0021U0041:="U1EA0"
CRCU1EA0.=" SCompU0021U0041"
-CDU0000U0041:="U1EA0"
-CRCU1EA0.=" U0000U0041"
+CDTCbdtU0041:="U1EA0"
+CRCU1EA0.=" TCbdtU0041"
CDTbldtU0061:="U1EA1"
CRCU1EA1.=" TbldtU0061"
CDSCompU0021U0061:="U1EA1"
CRCU1EA1.=" SCompU0021U0061"
-CDU0000U0061:="U1EA1"
-CRCU1EA1.=" U0000U0061"
-CDU0000U0041:="U1EA2"
-CRCU1EA2.=" U0000U0041"
+CDTCbdtU0061:="U1EA1"
+CRCU1EA1.=" TCbdtU0061"
+CMThook:=1
+CDThookU0041:="U1EA2"
+CRCU1EA2.=" ThookU0041"
CDSCompU003FU0041:="U1EA2"
CRCU1EA2.=" SCompU003FU0041"
-CDU0000U0041:="U1EA2"
-CRCU1EA2.=" U0000U0041"
-CDU0000U0061:="U1EA3"
-CRCU1EA3.=" U0000U0061"
+CMTChok:=1
+CDTChokU0041:="U1EA2"
+CRCU1EA2.=" TChokU0041"
+CDThookU0061:="U1EA3"
+CRCU1EA3.=" ThookU0061"
CDSCompU003FU0061:="U1EA3"
CRCU1EA3.=" SCompU003FU0061"
-CDU0000U0061:="U1EA3"
-CRCU1EA3.=" U0000U0061"
+CDTChokU0061:="U1EA3"
+CRCU1EA3.=" TChokU0061"
CDTacutU00C2:="U1EA4"
CRCU1EA4.=" TacutU00C2"
CDSCompU00B4U00C2:="U1EA4"
@@ -4808,14 +4826,14 @@ CRCU1EA4.=" SCompU0027TcflxU0041"
CMSCompU0027U005E:=1
CDSCompU0027U005EU0041:="U1EA4"
CRCU1EA4.=" SCompU0027U005EU0041"
-CDU0000U00C2:="U1EA4"
-CRCU1EA4.=" U0000U00C2"
-CMU0000Tcflx:=1
-CDU0000TcflxU0041:="U1EA4"
-CRCU1EA4.=" U0000TcflxU0041"
-CMU0000SCompU005E:=1
-CDU0000SCompU005EU0041:="U1EA4"
-CRCU1EA4.=" U0000SCompU005EU0041"
+CDTCactU00C2:="U1EA4"
+CRCU1EA4.=" TCactU00C2"
+CMTCactTcflx:=1
+CDTCactTcflxU0041:="U1EA4"
+CRCU1EA4.=" TCactTcflxU0041"
+CMTCactSCompU005E:=1
+CDTCactSCompU005EU0041:="U1EA4"
+CRCU1EA4.=" TCactSCompU005EU0041"
CDTacutU00E2:="U1EA5"
CRCU1EA5.=" TacutU00E2"
CDSCompU00B4U00E2:="U1EA5"
@@ -4834,12 +4852,12 @@ CDSCompU0027TcflxU0061:="U1EA5"
CRCU1EA5.=" SCompU0027TcflxU0061"
CDSCompU0027U005EU0061:="U1EA5"
CRCU1EA5.=" SCompU0027U005EU0061"
-CDU0000U00E2:="U1EA5"
-CRCU1EA5.=" U0000U00E2"
-CDU0000TcflxU0061:="U1EA5"
-CRCU1EA5.=" U0000TcflxU0061"
-CDU0000SCompU005EU0061:="U1EA5"
-CRCU1EA5.=" U0000SCompU005EU0061"
+CDTCactU00E2:="U1EA5"
+CRCU1EA5.=" TCactU00E2"
+CDTCactTcflxU0061:="U1EA5"
+CRCU1EA5.=" TCactTcflxU0061"
+CDTCactSCompU005EU0061:="U1EA5"
+CRCU1EA5.=" TCactSCompU005EU0061"
CDTgravU00C2:="U1EA6"
CRCU1EA6.=" TgravU00C2"
CDSCompU0060U00C2:="U1EA6"
@@ -4856,12 +4874,14 @@ CRCU1EA6.=" SCompU0060TcflxU0041"
CMSCompU0060U005E:=1
CDSCompU0060U005EU0041:="U1EA6"
CRCU1EA6.=" SCompU0060U005EU0041"
-CDU0000U00C2:="U1EA6"
-CRCU1EA6.=" U0000U00C2"
-CDU0000TcflxU0041:="U1EA6"
-CRCU1EA6.=" U0000TcflxU0041"
-CDU0000SCompU005EU0041:="U1EA6"
-CRCU1EA6.=" U0000SCompU005EU0041"
+CDTCgrvU00C2:="U1EA6"
+CRCU1EA6.=" TCgrvU00C2"
+CMTCgrvTcflx:=1
+CDTCgrvTcflxU0041:="U1EA6"
+CRCU1EA6.=" TCgrvTcflxU0041"
+CMTCgrvSCompU005E:=1
+CDTCgrvSCompU005EU0041:="U1EA6"
+CRCU1EA6.=" TCgrvSCompU005EU0041"
CDTgravU00E2:="U1EA7"
CRCU1EA7.=" TgravU00E2"
CDSCompU0060U00E2:="U1EA7"
@@ -4874,50 +4894,56 @@ CDSCompU0060TcflxU0061:="U1EA7"
CRCU1EA7.=" SCompU0060TcflxU0061"
CDSCompU0060U005EU0061:="U1EA7"
CRCU1EA7.=" SCompU0060U005EU0061"
-CDU0000U00E2:="U1EA7"
-CRCU1EA7.=" U0000U00E2"
-CDU0000TcflxU0061:="U1EA7"
-CRCU1EA7.=" U0000TcflxU0061"
-CDU0000SCompU005EU0061:="U1EA7"
-CRCU1EA7.=" U0000SCompU005EU0061"
-CDU0000U00C2:="U1EA8"
-CRCU1EA8.=" U0000U00C2"
+CDTCgrvU00E2:="U1EA7"
+CRCU1EA7.=" TCgrvU00E2"
+CDTCgrvTcflxU0061:="U1EA7"
+CRCU1EA7.=" TCgrvTcflxU0061"
+CDTCgrvSCompU005EU0061:="U1EA7"
+CRCU1EA7.=" TCgrvSCompU005EU0061"
+CDThookU00C2:="U1EA8"
+CRCU1EA8.=" ThookU00C2"
CDSCompU003FU00C2:="U1EA8"
CRCU1EA8.=" SCompU003FU00C2"
-CDU0000TcflxU0041:="U1EA8"
-CRCU1EA8.=" U0000TcflxU0041"
-CDU0000SCompU005EU0041:="U1EA8"
-CRCU1EA8.=" U0000SCompU005EU0041"
+CMThookTcflx:=1
+CDThookTcflxU0041:="U1EA8"
+CRCU1EA8.=" ThookTcflxU0041"
+CMThookSComp:=1
+CMThookSCompU005E:=1
+CDThookSCompU005EU0041:="U1EA8"
+CRCU1EA8.=" ThookSCompU005EU0041"
CMSCompU003FTcflx:=1
CDSCompU003FTcflxU0041:="U1EA8"
CRCU1EA8.=" SCompU003FTcflxU0041"
CMSCompU003FU005E:=1
CDSCompU003FU005EU0041:="U1EA8"
CRCU1EA8.=" SCompU003FU005EU0041"
-CDU0000U00C2:="U1EA8"
-CRCU1EA8.=" U0000U00C2"
-CDU0000TcflxU0041:="U1EA8"
-CRCU1EA8.=" U0000TcflxU0041"
-CDU0000SCompU005EU0041:="U1EA8"
-CRCU1EA8.=" U0000SCompU005EU0041"
-CDU0000U00E2:="U1EA9"
-CRCU1EA9.=" U0000U00E2"
+CDTChokU00C2:="U1EA8"
+CRCU1EA8.=" TChokU00C2"
+CMTChokTcflx:=1
+CDTChokTcflxU0041:="U1EA8"
+CRCU1EA8.=" TChokTcflxU0041"
+CMTChokSComp:=1
+CMTChokSCompU005E:=1
+CDTChokSCompU005EU0041:="U1EA8"
+CRCU1EA8.=" TChokSCompU005EU0041"
+CDThookU00E2:="U1EA9"
+CRCU1EA9.=" ThookU00E2"
CDSCompU003FU00E2:="U1EA9"
CRCU1EA9.=" SCompU003FU00E2"
-CDU0000TcflxU0061:="U1EA9"
-CRCU1EA9.=" U0000TcflxU0061"
-CDU0000SCompU005EU0061:="U1EA9"
-CRCU1EA9.=" U0000SCompU005EU0061"
+CDThookTcflxU0061:="U1EA9"
+CRCU1EA9.=" ThookTcflxU0061"
+CDThookSCompU005EU0061:="U1EA9"
+CRCU1EA9.=" ThookSCompU005EU0061"
CDSCompU003FTcflxU0061:="U1EA9"
CRCU1EA9.=" SCompU003FTcflxU0061"
CDSCompU003FU005EU0061:="U1EA9"
CRCU1EA9.=" SCompU003FU005EU0061"
-CDU0000U00E2:="U1EA9"
-CRCU1EA9.=" U0000U00E2"
-CDU0000TcflxU0061:="U1EA9"
-CRCU1EA9.=" U0000TcflxU0061"
-CDU0000SCompU005EU0061:="U1EA9"
-CRCU1EA9.=" U0000SCompU005EU0061"
+CDTChokU00E2:="U1EA9"
+CRCU1EA9.=" TChokU00E2"
+CDTChokTcflxU0061:="U1EA9"
+CRCU1EA9.=" TChokTcflxU0061"
+CDTChokSCompU005EU0061:="U1EA9"
+CRCU1EA9.=" TChokSCompU005EU0061"
CDTtldeU00C2:="U1EAA"
CRCU1EAA.=" TtldeU00C2"
CDSCompU007EU00C2:="U1EAA"
@@ -4935,12 +4961,15 @@ CRCU1EAA.=" SCompU007ETcflxU0041"
CMSCompU007EU005E:=1
CDSCompU007EU005EU0041:="U1EAA"
CRCU1EAA.=" SCompU007EU005EU0041"
-CDU0000U00C2:="U1EAA"
-CRCU1EAA.=" U0000U00C2"
-CDU0000TcflxU0041:="U1EAA"
-CRCU1EAA.=" U0000TcflxU0041"
-CDU0000SCompU005EU0041:="U1EAA"
-CRCU1EAA.=" U0000SCompU005EU0041"
+CDTCtldU00C2:="U1EAA"
+CRCU1EAA.=" TCtldU00C2"
+CMTCtldTcflx:=1
+CDTCtldTcflxU0041:="U1EAA"
+CRCU1EAA.=" TCtldTcflxU0041"
+CMTCtldSComp:=1
+CMTCtldSCompU005E:=1
+CDTCtldSCompU005EU0041:="U1EAA"
+CRCU1EAA.=" TCtldSCompU005EU0041"
CDTtldeU00E2:="U1EAB"
CRCU1EAB.=" TtldeU00E2"
CDSCompU007EU00E2:="U1EAB"
@@ -4953,12 +4982,12 @@ CDSCompU007ETcflxU0061:="U1EAB"
CRCU1EAB.=" SCompU007ETcflxU0061"
CDSCompU007EU005EU0061:="U1EAB"
CRCU1EAB.=" SCompU007EU005EU0061"
-CDU0000U00E2:="U1EAB"
-CRCU1EAB.=" U0000U00E2"
-CDU0000TcflxU0061:="U1EAB"
-CRCU1EAB.=" U0000TcflxU0061"
-CDU0000SCompU005EU0061:="U1EAB"
-CRCU1EAB.=" U0000SCompU005EU0061"
+CDTCtldU00E2:="U1EAB"
+CRCU1EAB.=" TCtldU00E2"
+CDTCtldTcflxU0061:="U1EAB"
+CRCU1EAB.=" TCtldTcflxU0061"
+CDTCtldSCompU005EU0061:="U1EAB"
+CRCU1EAB.=" TCtldSCompU005EU0061"
CDTcflxU1ea0:="U1EAC"
CRCU1EAC.=" TcflxU1ea0"
CDSCompU005EU1ea0:="U1EAC"
@@ -4975,12 +5004,12 @@ CRCU1EAC.=" SCompU005ETbldtU0041"
CMSCompU005EU0021:=1
CDSCompU005EU0021U0041:="U1EAC"
CRCU1EAC.=" SCompU005EU0021U0041"
-CMTcflxU0000:=1
-CDTcflxU0000U0041:="U1EAC"
-CRCU1EAC.=" TcflxU0000U0041"
-CMSCompU005EU0000:=1
-CDSCompU005EU0000U0041:="U1EAC"
-CRCU1EAC.=" SCompU005EU0000U0041"
+CMTcflxTCbdt:=1
+CDTcflxTCbdtU0041:="U1EAC"
+CRCU1EAC.=" TcflxTCbdtU0041"
+CMSCompU005ETCbdt:=1
+CDSCompU005ETCbdtU0041:="U1EAC"
+CRCU1EAC.=" SCompU005ETCbdtU0041"
CDTbldtU00C2:="U1EAC"
CRCU1EAC.=" TbldtU00C2"
CDTcflxU1ea1:="U1EAD"
@@ -4995,10 +5024,10 @@ CDSCompU005ETbldtU0061:="U1EAD"
CRCU1EAD.=" SCompU005ETbldtU0061"
CDSCompU005EU0021U0061:="U1EAD"
CRCU1EAD.=" SCompU005EU0021U0061"
-CDTcflxU0000U0061:="U1EAD"
-CRCU1EAD.=" TcflxU0000U0061"
-CDSCompU005EU0000U0061:="U1EAD"
-CRCU1EAD.=" SCompU005EU0000U0061"
+CDTcflxTCbdtU0061:="U1EAD"
+CRCU1EAD.=" TcflxTCbdtU0061"
+CDSCompU005ETCbdtU0061:="U1EAD"
+CRCU1EAD.=" SCompU005ETCbdtU0061"
CDTbldtU00E2:="U1EAD"
CRCU1EAD.=" TbldtU00E2"
CDTacutU0102:="U1EAE"
@@ -5034,17 +5063,17 @@ CRCU1EAE.=" SCompU0027U0055U0041"
CMSCompU0027U0062:=1
CDSCompU0027U0062U0041:="U1EAE"
CRCU1EAE.=" SCompU0027U0062U0041"
-CDU0000U0102:="U1EAE"
-CRCU1EAE.=" U0000U0102"
-CMU0000Tbrve:=1
-CDU0000TbrveU0041:="U1EAE"
-CRCU1EAE.=" U0000TbrveU0041"
-CMU0000SCompU0055:=1
-CDU0000SCompU0055U0041:="U1EAE"
-CRCU1EAE.=" U0000SCompU0055U0041"
-CMU0000SCompU0062:=1
-CDU0000SCompU0062U0041:="U1EAE"
-CRCU1EAE.=" U0000SCompU0062U0041"
+CDTCactU0102:="U1EAE"
+CRCU1EAE.=" TCactU0102"
+CMTCactTbrve:=1
+CDTCactTbrveU0041:="U1EAE"
+CRCU1EAE.=" TCactTbrveU0041"
+CMTCactSCompU0055:=1
+CDTCactSCompU0055U0041:="U1EAE"
+CRCU1EAE.=" TCactSCompU0055U0041"
+CMTCactSCompU0062:=1
+CDTCactSCompU0062U0041:="U1EAE"
+CRCU1EAE.=" TCactSCompU0062U0041"
CDTacutU0103:="U1EAF"
CRCU1EAF.=" TacutU0103"
CDSCompU00B4U0103:="U1EAF"
@@ -5069,14 +5098,14 @@ CDSCompU0027U0055U0061:="U1EAF"
CRCU1EAF.=" SCompU0027U0055U0061"
CDSCompU0027U0062U0061:="U1EAF"
CRCU1EAF.=" SCompU0027U0062U0061"
-CDU0000U0103:="U1EAF"
-CRCU1EAF.=" U0000U0103"
-CDU0000TbrveU0061:="U1EAF"
-CRCU1EAF.=" U0000TbrveU0061"
-CDU0000SCompU0055U0061:="U1EAF"
-CRCU1EAF.=" U0000SCompU0055U0061"
-CDU0000SCompU0062U0061:="U1EAF"
-CRCU1EAF.=" U0000SCompU0062U0061"
+CDTCactU0103:="U1EAF"
+CRCU1EAF.=" TCactU0103"
+CDTCactTbrveU0061:="U1EAF"
+CRCU1EAF.=" TCactTbrveU0061"
+CDTCactSCompU0055U0061:="U1EAF"
+CRCU1EAF.=" TCactSCompU0055U0061"
+CDTCactSCompU0062U0061:="U1EAF"
+CRCU1EAF.=" TCactSCompU0062U0061"
CDTgravU0102:="U1EB0"
CRCU1EB0.=" TgravU0102"
CDSCompU0060U0102:="U1EB0"
@@ -5099,14 +5128,17 @@ CRCU1EB0.=" SCompU0060U0055U0041"
CMSCompU0060U0062:=1
CDSCompU0060U0062U0041:="U1EB0"
CRCU1EB0.=" SCompU0060U0062U0041"
-CDU0000U0102:="U1EB0"
-CRCU1EB0.=" U0000U0102"
-CDU0000TbrveU0041:="U1EB0"
-CRCU1EB0.=" U0000TbrveU0041"
-CDU0000SCompU0055U0041:="U1EB0"
-CRCU1EB0.=" U0000SCompU0055U0041"
-CDU0000SCompU0062U0041:="U1EB0"
-CRCU1EB0.=" U0000SCompU0062U0041"
+CDTCgrvU0102:="U1EB0"
+CRCU1EB0.=" TCgrvU0102"
+CMTCgrvTbrve:=1
+CDTCgrvTbrveU0041:="U1EB0"
+CRCU1EB0.=" TCgrvTbrveU0041"
+CMTCgrvSCompU0055:=1
+CDTCgrvSCompU0055U0041:="U1EB0"
+CRCU1EB0.=" TCgrvSCompU0055U0041"
+CMTCgrvSCompU0062:=1
+CDTCgrvSCompU0062U0041:="U1EB0"
+CRCU1EB0.=" TCgrvSCompU0062U0041"
CDTgravU0103:="U1EB1"
CRCU1EB1.=" TgravU0103"
CDSCompU0060U0103:="U1EB1"
@@ -5123,24 +5155,27 @@ CDSCompU0060U0055U0061:="U1EB1"
CRCU1EB1.=" SCompU0060U0055U0061"
CDSCompU0060U0062U0061:="U1EB1"
CRCU1EB1.=" SCompU0060U0062U0061"
-CDU0000U0103:="U1EB1"
-CRCU1EB1.=" U0000U0103"
-CDU0000TbrveU0061:="U1EB1"
-CRCU1EB1.=" U0000TbrveU0061"
-CDU0000SCompU0055U0061:="U1EB1"
-CRCU1EB1.=" U0000SCompU0055U0061"
-CDU0000SCompU0062U0061:="U1EB1"
-CRCU1EB1.=" U0000SCompU0062U0061"
-CDU0000U0102:="U1EB2"
-CRCU1EB2.=" U0000U0102"
+CDTCgrvU0103:="U1EB1"
+CRCU1EB1.=" TCgrvU0103"
+CDTCgrvTbrveU0061:="U1EB1"
+CRCU1EB1.=" TCgrvTbrveU0061"
+CDTCgrvSCompU0055U0061:="U1EB1"
+CRCU1EB1.=" TCgrvSCompU0055U0061"
+CDTCgrvSCompU0062U0061:="U1EB1"
+CRCU1EB1.=" TCgrvSCompU0062U0061"
+CDThookU0102:="U1EB2"
+CRCU1EB2.=" ThookU0102"
CDSCompU003FU0102:="U1EB2"
CRCU1EB2.=" SCompU003FU0102"
-CDU0000TbrveU0041:="U1EB2"
-CRCU1EB2.=" U0000TbrveU0041"
-CDU0000SCompU0055U0041:="U1EB2"
-CRCU1EB2.=" U0000SCompU0055U0041"
-CDU0000SCompU0062U0041:="U1EB2"
-CRCU1EB2.=" U0000SCompU0062U0041"
+CMThookTbrve:=1
+CDThookTbrveU0041:="U1EB2"
+CRCU1EB2.=" ThookTbrveU0041"
+CMThookSCompU0055:=1
+CDThookSCompU0055U0041:="U1EB2"
+CRCU1EB2.=" ThookSCompU0055U0041"
+CMThookSCompU0062:=1
+CDThookSCompU0062U0041:="U1EB2"
+CRCU1EB2.=" ThookSCompU0062U0041"
CMSCompU003FTbrve:=1
CDSCompU003FTbrveU0041:="U1EB2"
CRCU1EB2.=" SCompU003FTbrveU0041"
@@ -5150,38 +5185,41 @@ CRCU1EB2.=" SCompU003FU0055U0041"
CMSCompU003FU0062:=1
CDSCompU003FU0062U0041:="U1EB2"
CRCU1EB2.=" SCompU003FU0062U0041"
-CDU0000U0102:="U1EB2"
-CRCU1EB2.=" U0000U0102"
-CDU0000TbrveU0041:="U1EB2"
-CRCU1EB2.=" U0000TbrveU0041"
-CDU0000SCompU0055U0041:="U1EB2"
-CRCU1EB2.=" U0000SCompU0055U0041"
-CDU0000SCompU0062U0041:="U1EB2"
-CRCU1EB2.=" U0000SCompU0062U0041"
-CDU0000U0103:="U1EB3"
-CRCU1EB3.=" U0000U0103"
+CDTChokU0102:="U1EB2"
+CRCU1EB2.=" TChokU0102"
+CMTChokTbrve:=1
+CDTChokTbrveU0041:="U1EB2"
+CRCU1EB2.=" TChokTbrveU0041"
+CMTChokSCompU0055:=1
+CDTChokSCompU0055U0041:="U1EB2"
+CRCU1EB2.=" TChokSCompU0055U0041"
+CMTChokSCompU0062:=1
+CDTChokSCompU0062U0041:="U1EB2"
+CRCU1EB2.=" TChokSCompU0062U0041"
+CDThookU0103:="U1EB3"
+CRCU1EB3.=" ThookU0103"
CDSCompU003FU0103:="U1EB3"
CRCU1EB3.=" SCompU003FU0103"
-CDU0000TbrveU0061:="U1EB3"
-CRCU1EB3.=" U0000TbrveU0061"
-CDU0000SCompU0055U0061:="U1EB3"
-CRCU1EB3.=" U0000SCompU0055U0061"
-CDU0000SCompU0062U0061:="U1EB3"
-CRCU1EB3.=" U0000SCompU0062U0061"
+CDThookTbrveU0061:="U1EB3"
+CRCU1EB3.=" ThookTbrveU0061"
+CDThookSCompU0055U0061:="U1EB3"
+CRCU1EB3.=" ThookSCompU0055U0061"
+CDThookSCompU0062U0061:="U1EB3"
+CRCU1EB3.=" ThookSCompU0062U0061"
CDSCompU003FTbrveU0061:="U1EB3"
CRCU1EB3.=" SCompU003FTbrveU0061"
CDSCompU003FU0055U0061:="U1EB3"
CRCU1EB3.=" SCompU003FU0055U0061"
CDSCompU003FU0062U0061:="U1EB3"
CRCU1EB3.=" SCompU003FU0062U0061"
-CDU0000U0103:="U1EB3"
-CRCU1EB3.=" U0000U0103"
-CDU0000TbrveU0061:="U1EB3"
-CRCU1EB3.=" U0000TbrveU0061"
-CDU0000SCompU0055U0061:="U1EB3"
-CRCU1EB3.=" U0000SCompU0055U0061"
-CDU0000SCompU0062U0061:="U1EB3"
-CRCU1EB3.=" U0000SCompU0062U0061"
+CDTChokU0103:="U1EB3"
+CRCU1EB3.=" TChokU0103"
+CDTChokTbrveU0061:="U1EB3"
+CRCU1EB3.=" TChokTbrveU0061"
+CDTChokSCompU0055U0061:="U1EB3"
+CRCU1EB3.=" TChokSCompU0055U0061"
+CDTChokSCompU0062U0061:="U1EB3"
+CRCU1EB3.=" TChokSCompU0062U0061"
CDTtldeU0102:="U1EB4"
CRCU1EB4.=" TtldeU0102"
CDSCompU007EU0102:="U1EB4"
@@ -5204,14 +5242,17 @@ CRCU1EB4.=" SCompU007EU0055U0041"
CMSCompU007EU0062:=1
CDSCompU007EU0062U0041:="U1EB4"
CRCU1EB4.=" SCompU007EU0062U0041"
-CDU0000U0102:="U1EB4"
-CRCU1EB4.=" U0000U0102"
-CDU0000TbrveU0041:="U1EB4"
-CRCU1EB4.=" U0000TbrveU0041"
-CDU0000SCompU0055U0041:="U1EB4"
-CRCU1EB4.=" U0000SCompU0055U0041"
-CDU0000SCompU0062U0041:="U1EB4"
-CRCU1EB4.=" U0000SCompU0062U0041"
+CDTCtldU0102:="U1EB4"
+CRCU1EB4.=" TCtldU0102"
+CMTCtldTbrve:=1
+CDTCtldTbrveU0041:="U1EB4"
+CRCU1EB4.=" TCtldTbrveU0041"
+CMTCtldSCompU0055:=1
+CDTCtldSCompU0055U0041:="U1EB4"
+CRCU1EB4.=" TCtldSCompU0055U0041"
+CMTCtldSCompU0062:=1
+CDTCtldSCompU0062U0041:="U1EB4"
+CRCU1EB4.=" TCtldSCompU0062U0041"
CDTtldeU0103:="U1EB5"
CRCU1EB5.=" TtldeU0103"
CDSCompU007EU0103:="U1EB5"
@@ -5228,14 +5269,14 @@ CDSCompU007EU0055U0061:="U1EB5"
CRCU1EB5.=" SCompU007EU0055U0061"
CDSCompU007EU0062U0061:="U1EB5"
CRCU1EB5.=" SCompU007EU0062U0061"
-CDU0000U0103:="U1EB5"
-CRCU1EB5.=" U0000U0103"
-CDU0000TbrveU0061:="U1EB5"
-CRCU1EB5.=" U0000TbrveU0061"
-CDU0000SCompU0055U0061:="U1EB5"
-CRCU1EB5.=" U0000SCompU0055U0061"
-CDU0000SCompU0062U0061:="U1EB5"
-CRCU1EB5.=" U0000SCompU0062U0061"
+CDTCtldU0103:="U1EB5"
+CRCU1EB5.=" TCtldU0103"
+CDTCtldTbrveU0061:="U1EB5"
+CRCU1EB5.=" TCtldTbrveU0061"
+CDTCtldSCompU0055U0061:="U1EB5"
+CRCU1EB5.=" TCtldSCompU0055U0061"
+CDTCtldSCompU0062U0061:="U1EB5"
+CRCU1EB5.=" TCtldSCompU0062U0061"
CDTbrveU1ea0:="U1EB6"
CRCU1EB6.=" TbrveU1ea0"
CDSCompU0055U1ea0:="U1EB6"
@@ -5260,15 +5301,15 @@ CRCU1EB6.=" SCompU0062TbldtU0041"
CMSCompU0062U0021:=1
CDSCompU0062U0021U0041:="U1EB6"
CRCU1EB6.=" SCompU0062U0021U0041"
-CMTbrveU0000:=1
-CDTbrveU0000U0041:="U1EB6"
-CRCU1EB6.=" TbrveU0000U0041"
-CMSCompU0055U0000:=1
-CDSCompU0055U0000U0041:="U1EB6"
-CRCU1EB6.=" SCompU0055U0000U0041"
-CMSCompU0062U0000:=1
-CDSCompU0062U0000U0041:="U1EB6"
-CRCU1EB6.=" SCompU0062U0000U0041"
+CMTbrveTCbdt:=1
+CDTbrveTCbdtU0041:="U1EB6"
+CRCU1EB6.=" TbrveTCbdtU0041"
+CMSCompU0055TCbdt:=1
+CDSCompU0055TCbdtU0041:="U1EB6"
+CRCU1EB6.=" SCompU0055TCbdtU0041"
+CMSCompU0062TCbdt:=1
+CDSCompU0062TCbdtU0041:="U1EB6"
+CRCU1EB6.=" SCompU0062TCbdtU0041"
CDTbldtU0102:="U1EB6"
CRCU1EB6.=" TbldtU0102"
CDTbrveU1ea1:="U1EB7"
@@ -5289,50 +5330,50 @@ CDSCompU0062TbldtU0061:="U1EB7"
CRCU1EB7.=" SCompU0062TbldtU0061"
CDSCompU0062U0021U0061:="U1EB7"
CRCU1EB7.=" SCompU0062U0021U0061"
-CDTbrveU0000U0061:="U1EB7"
-CRCU1EB7.=" TbrveU0000U0061"
-CDSCompU0055U0000U0061:="U1EB7"
-CRCU1EB7.=" SCompU0055U0000U0061"
-CDSCompU0062U0000U0061:="U1EB7"
-CRCU1EB7.=" SCompU0062U0000U0061"
+CDTbrveTCbdtU0061:="U1EB7"
+CRCU1EB7.=" TbrveTCbdtU0061"
+CDSCompU0055TCbdtU0061:="U1EB7"
+CRCU1EB7.=" SCompU0055TCbdtU0061"
+CDSCompU0062TCbdtU0061:="U1EB7"
+CRCU1EB7.=" SCompU0062TCbdtU0061"
CDTbldtU0103:="U1EB7"
CRCU1EB7.=" TbldtU0103"
CDTbldtU0045:="U1EB8"
CRCU1EB8.=" TbldtU0045"
CDSCompU0021U0045:="U1EB8"
CRCU1EB8.=" SCompU0021U0045"
-CDU0000U0045:="U1EB8"
-CRCU1EB8.=" U0000U0045"
+CDTCbdtU0045:="U1EB8"
+CRCU1EB8.=" TCbdtU0045"
CDTbldtU0065:="U1EB9"
CRCU1EB9.=" TbldtU0065"
CDSCompU0021U0065:="U1EB9"
CRCU1EB9.=" SCompU0021U0065"
-CDU0000U0065:="U1EB9"
-CRCU1EB9.=" U0000U0065"
-CDU0000U0045:="U1EBA"
-CRCU1EBA.=" U0000U0045"
+CDTCbdtU0065:="U1EB9"
+CRCU1EB9.=" TCbdtU0065"
+CDThookU0045:="U1EBA"
+CRCU1EBA.=" ThookU0045"
CDSCompU003FU0045:="U1EBA"
CRCU1EBA.=" SCompU003FU0045"
-CDU0000U0045:="U1EBA"
-CRCU1EBA.=" U0000U0045"
-CDU0000U0065:="U1EBB"
-CRCU1EBB.=" U0000U0065"
+CDTChokU0045:="U1EBA"
+CRCU1EBA.=" TChokU0045"
+CDThookU0065:="U1EBB"
+CRCU1EBB.=" ThookU0065"
CDSCompU003FU0065:="U1EBB"
CRCU1EBB.=" SCompU003FU0065"
-CDU0000U0065:="U1EBB"
-CRCU1EBB.=" U0000U0065"
+CDTChokU0065:="U1EBB"
+CRCU1EBB.=" TChokU0065"
CDTtldeU0045:="U1EBC"
CRCU1EBC.=" TtldeU0045"
CDSCompU007EU0045:="U1EBC"
CRCU1EBC.=" SCompU007EU0045"
-CDU0000U0045:="U1EBC"
-CRCU1EBC.=" U0000U0045"
+CDTCtldU0045:="U1EBC"
+CRCU1EBC.=" TCtldU0045"
CDTtldeU0065:="U1EBD"
CRCU1EBD.=" TtldeU0065"
CDSCompU007EU0065:="U1EBD"
CRCU1EBD.=" SCompU007EU0065"
-CDU0000U0065:="U1EBD"
-CRCU1EBD.=" U0000U0065"
+CDTCtldU0065:="U1EBD"
+CRCU1EBD.=" TCtldU0065"
CDTacutU00CA:="U1EBE"
CRCU1EBE.=" TacutU00CA"
CDSCompU00B4U00CA:="U1EBE"
@@ -5351,12 +5392,12 @@ CDSCompU0027TcflxU0045:="U1EBE"
CRCU1EBE.=" SCompU0027TcflxU0045"
CDSCompU0027U005EU0045:="U1EBE"
CRCU1EBE.=" SCompU0027U005EU0045"
-CDU0000U00CA:="U1EBE"
-CRCU1EBE.=" U0000U00CA"
-CDU0000TcflxU0045:="U1EBE"
-CRCU1EBE.=" U0000TcflxU0045"
-CDU0000SCompU005EU0045:="U1EBE"
-CRCU1EBE.=" U0000SCompU005EU0045"
+CDTCactU00CA:="U1EBE"
+CRCU1EBE.=" TCactU00CA"
+CDTCactTcflxU0045:="U1EBE"
+CRCU1EBE.=" TCactTcflxU0045"
+CDTCactSCompU005EU0045:="U1EBE"
+CRCU1EBE.=" TCactSCompU005EU0045"
CDTacutU00EA:="U1EBF"
CRCU1EBF.=" TacutU00EA"
CDSCompU00B4U00EA:="U1EBF"
@@ -5375,12 +5416,12 @@ CDSCompU0027TcflxU0065:="U1EBF"
CRCU1EBF.=" SCompU0027TcflxU0065"
CDSCompU0027U005EU0065:="U1EBF"
CRCU1EBF.=" SCompU0027U005EU0065"
-CDU0000U00EA:="U1EBF"
-CRCU1EBF.=" U0000U00EA"
-CDU0000TcflxU0065:="U1EBF"
-CRCU1EBF.=" U0000TcflxU0065"
-CDU0000SCompU005EU0065:="U1EBF"
-CRCU1EBF.=" U0000SCompU005EU0065"
+CDTCactU00EA:="U1EBF"
+CRCU1EBF.=" TCactU00EA"
+CDTCactTcflxU0065:="U1EBF"
+CRCU1EBF.=" TCactTcflxU0065"
+CDTCactSCompU005EU0065:="U1EBF"
+CRCU1EBF.=" TCactSCompU005EU0065"
CDTgravU00CA:="U1EC0"
CRCU1EC0.=" TgravU00CA"
CDSCompU0060U00CA:="U1EC0"
@@ -5393,12 +5434,12 @@ CDSCompU0060TcflxU0045:="U1EC0"
CRCU1EC0.=" SCompU0060TcflxU0045"
CDSCompU0060U005EU0045:="U1EC0"
CRCU1EC0.=" SCompU0060U005EU0045"
-CDU0000U00CA:="U1EC0"
-CRCU1EC0.=" U0000U00CA"
-CDU0000TcflxU0045:="U1EC0"
-CRCU1EC0.=" U0000TcflxU0045"
-CDU0000SCompU005EU0045:="U1EC0"
-CRCU1EC0.=" U0000SCompU005EU0045"
+CDTCgrvU00CA:="U1EC0"
+CRCU1EC0.=" TCgrvU00CA"
+CDTCgrvTcflxU0045:="U1EC0"
+CRCU1EC0.=" TCgrvTcflxU0045"
+CDTCgrvSCompU005EU0045:="U1EC0"
+CRCU1EC0.=" TCgrvSCompU005EU0045"
CDTgravU00EA:="U1EC1"
CRCU1EC1.=" TgravU00EA"
CDSCompU0060U00EA:="U1EC1"
@@ -5411,48 +5452,48 @@ CDSCompU0060TcflxU0065:="U1EC1"
CRCU1EC1.=" SCompU0060TcflxU0065"
CDSCompU0060U005EU0065:="U1EC1"
CRCU1EC1.=" SCompU0060U005EU0065"
-CDU0000U00EA:="U1EC1"
-CRCU1EC1.=" U0000U00EA"
-CDU0000TcflxU0065:="U1EC1"
-CRCU1EC1.=" U0000TcflxU0065"
-CDU0000SCompU005EU0065:="U1EC1"
-CRCU1EC1.=" U0000SCompU005EU0065"
-CDU0000U00CA:="U1EC2"
-CRCU1EC2.=" U0000U00CA"
+CDTCgrvU00EA:="U1EC1"
+CRCU1EC1.=" TCgrvU00EA"
+CDTCgrvTcflxU0065:="U1EC1"
+CRCU1EC1.=" TCgrvTcflxU0065"
+CDTCgrvSCompU005EU0065:="U1EC1"
+CRCU1EC1.=" TCgrvSCompU005EU0065"
+CDThookU00CA:="U1EC2"
+CRCU1EC2.=" ThookU00CA"
CDSCompU003FU00CA:="U1EC2"
CRCU1EC2.=" SCompU003FU00CA"
-CDU0000TcflxU0045:="U1EC2"
-CRCU1EC2.=" U0000TcflxU0045"
-CDU0000SCompU005EU0045:="U1EC2"
-CRCU1EC2.=" U0000SCompU005EU0045"
+CDThookTcflxU0045:="U1EC2"
+CRCU1EC2.=" ThookTcflxU0045"
+CDThookSCompU005EU0045:="U1EC2"
+CRCU1EC2.=" ThookSCompU005EU0045"
CDSCompU003FTcflxU0045:="U1EC2"
CRCU1EC2.=" SCompU003FTcflxU0045"
CDSCompU003FU005EU0045:="U1EC2"
CRCU1EC2.=" SCompU003FU005EU0045"
-CDU0000U00CA:="U1EC2"
-CRCU1EC2.=" U0000U00CA"
-CDU0000TcflxU0045:="U1EC2"
-CRCU1EC2.=" U0000TcflxU0045"
-CDU0000SCompU005EU0045:="U1EC2"
-CRCU1EC2.=" U0000SCompU005EU0045"
-CDU0000U00EA:="U1EC3"
-CRCU1EC3.=" U0000U00EA"
+CDTChokU00CA:="U1EC2"
+CRCU1EC2.=" TChokU00CA"
+CDTChokTcflxU0045:="U1EC2"
+CRCU1EC2.=" TChokTcflxU0045"
+CDTChokSCompU005EU0045:="U1EC2"
+CRCU1EC2.=" TChokSCompU005EU0045"
+CDThookU00EA:="U1EC3"
+CRCU1EC3.=" ThookU00EA"
CDSCompU003FU00EA:="U1EC3"
CRCU1EC3.=" SCompU003FU00EA"
-CDU0000TcflxU0065:="U1EC3"
-CRCU1EC3.=" U0000TcflxU0065"
-CDU0000SCompU005EU0065:="U1EC3"
-CRCU1EC3.=" U0000SCompU005EU0065"
+CDThookTcflxU0065:="U1EC3"
+CRCU1EC3.=" ThookTcflxU0065"
+CDThookSCompU005EU0065:="U1EC3"
+CRCU1EC3.=" ThookSCompU005EU0065"
CDSCompU003FTcflxU0065:="U1EC3"
CRCU1EC3.=" SCompU003FTcflxU0065"
CDSCompU003FU005EU0065:="U1EC3"
CRCU1EC3.=" SCompU003FU005EU0065"
-CDU0000U00EA:="U1EC3"
-CRCU1EC3.=" U0000U00EA"
-CDU0000TcflxU0065:="U1EC3"
-CRCU1EC3.=" U0000TcflxU0065"
-CDU0000SCompU005EU0065:="U1EC3"
-CRCU1EC3.=" U0000SCompU005EU0065"
+CDTChokU00EA:="U1EC3"
+CRCU1EC3.=" TChokU00EA"
+CDTChokTcflxU0065:="U1EC3"
+CRCU1EC3.=" TChokTcflxU0065"
+CDTChokSCompU005EU0065:="U1EC3"
+CRCU1EC3.=" TChokSCompU005EU0065"
CDTtldeU00CA:="U1EC4"
CRCU1EC4.=" TtldeU00CA"
CDSCompU007EU00CA:="U1EC4"
@@ -5465,12 +5506,12 @@ CDSCompU007ETcflxU0045:="U1EC4"
CRCU1EC4.=" SCompU007ETcflxU0045"
CDSCompU007EU005EU0045:="U1EC4"
CRCU1EC4.=" SCompU007EU005EU0045"
-CDU0000U00CA:="U1EC4"
-CRCU1EC4.=" U0000U00CA"
-CDU0000TcflxU0045:="U1EC4"
-CRCU1EC4.=" U0000TcflxU0045"
-CDU0000SCompU005EU0045:="U1EC4"
-CRCU1EC4.=" U0000SCompU005EU0045"
+CDTCtldU00CA:="U1EC4"
+CRCU1EC4.=" TCtldU00CA"
+CDTCtldTcflxU0045:="U1EC4"
+CRCU1EC4.=" TCtldTcflxU0045"
+CDTCtldSCompU005EU0045:="U1EC4"
+CRCU1EC4.=" TCtldSCompU005EU0045"
CDTtldeU00EA:="U1EC5"
CRCU1EC5.=" TtldeU00EA"
CDSCompU007EU00EA:="U1EC5"
@@ -5483,12 +5524,12 @@ CDSCompU007ETcflxU0065:="U1EC5"
CRCU1EC5.=" SCompU007ETcflxU0065"
CDSCompU007EU005EU0065:="U1EC5"
CRCU1EC5.=" SCompU007EU005EU0065"
-CDU0000U00EA:="U1EC5"
-CRCU1EC5.=" U0000U00EA"
-CDU0000TcflxU0065:="U1EC5"
-CRCU1EC5.=" U0000TcflxU0065"
-CDU0000SCompU005EU0065:="U1EC5"
-CRCU1EC5.=" U0000SCompU005EU0065"
+CDTCtldU00EA:="U1EC5"
+CRCU1EC5.=" TCtldU00EA"
+CDTCtldTcflxU0065:="U1EC5"
+CRCU1EC5.=" TCtldTcflxU0065"
+CDTCtldSCompU005EU0065:="U1EC5"
+CRCU1EC5.=" TCtldSCompU005EU0065"
CDTcflxU1eb8:="U1EC6"
CRCU1EC6.=" TcflxU1eb8"
CDSCompU005EU1eb8:="U1EC6"
@@ -5501,10 +5542,10 @@ CDSCompU005ETbldtU0045:="U1EC6"
CRCU1EC6.=" SCompU005ETbldtU0045"
CDSCompU005EU0021U0045:="U1EC6"
CRCU1EC6.=" SCompU005EU0021U0045"
-CDTcflxU0000U0045:="U1EC6"
-CRCU1EC6.=" TcflxU0000U0045"
-CDSCompU005EU0000U0045:="U1EC6"
-CRCU1EC6.=" SCompU005EU0000U0045"
+CDTcflxTCbdtU0045:="U1EC6"
+CRCU1EC6.=" TcflxTCbdtU0045"
+CDSCompU005ETCbdtU0045:="U1EC6"
+CRCU1EC6.=" SCompU005ETCbdtU0045"
CDTbldtU00CA:="U1EC6"
CRCU1EC6.=" TbldtU00CA"
CDTcflxU1eb9:="U1EC7"
@@ -5519,60 +5560,60 @@ CDSCompU005ETbldtU0065:="U1EC7"
CRCU1EC7.=" SCompU005ETbldtU0065"
CDSCompU005EU0021U0065:="U1EC7"
CRCU1EC7.=" SCompU005EU0021U0065"
-CDTcflxU0000U0065:="U1EC7"
-CRCU1EC7.=" TcflxU0000U0065"
-CDSCompU005EU0000U0065:="U1EC7"
-CRCU1EC7.=" SCompU005EU0000U0065"
+CDTcflxTCbdtU0065:="U1EC7"
+CRCU1EC7.=" TcflxTCbdtU0065"
+CDSCompU005ETCbdtU0065:="U1EC7"
+CRCU1EC7.=" SCompU005ETCbdtU0065"
CDTbldtU00EA:="U1EC7"
CRCU1EC7.=" TbldtU00EA"
-CDU0000U0049:="U1EC8"
-CRCU1EC8.=" U0000U0049"
+CDThookU0049:="U1EC8"
+CRCU1EC8.=" ThookU0049"
CDSCompU003FU0049:="U1EC8"
CRCU1EC8.=" SCompU003FU0049"
-CDU0000U0049:="U1EC8"
-CRCU1EC8.=" U0000U0049"
-CDU0000U0069:="U1EC9"
-CRCU1EC9.=" U0000U0069"
+CDTChokU0049:="U1EC8"
+CRCU1EC8.=" TChokU0049"
+CDThookU0069:="U1EC9"
+CRCU1EC9.=" ThookU0069"
CDSCompU003FU0069:="U1EC9"
CRCU1EC9.=" SCompU003FU0069"
-CDU0000U0069:="U1EC9"
-CRCU1EC9.=" U0000U0069"
+CDTChokU0069:="U1EC9"
+CRCU1EC9.=" TChokU0069"
CDTbldtU0049:="U1ECA"
CRCU1ECA.=" TbldtU0049"
CDSCompU0021U0049:="U1ECA"
CRCU1ECA.=" SCompU0021U0049"
-CDU0000U0049:="U1ECA"
-CRCU1ECA.=" U0000U0049"
+CDTCbdtU0049:="U1ECA"
+CRCU1ECA.=" TCbdtU0049"
CDTbldtU0069:="U1ECB"
CRCU1ECB.=" TbldtU0069"
CDSCompU0021U0069:="U1ECB"
CRCU1ECB.=" SCompU0021U0069"
-CDU0000U0069:="U1ECB"
-CRCU1ECB.=" U0000U0069"
+CDTCbdtU0069:="U1ECB"
+CRCU1ECB.=" TCbdtU0069"
CDTbldtU004F:="U1ECC"
CRCU1ECC.=" TbldtU004F"
CDSCompU0021U004F:="U1ECC"
CRCU1ECC.=" SCompU0021U004F"
-CDU0000U004F:="U1ECC"
-CRCU1ECC.=" U0000U004F"
+CDTCbdtU004F:="U1ECC"
+CRCU1ECC.=" TCbdtU004F"
CDTbldtU006F:="U1ECD"
CRCU1ECD.=" TbldtU006F"
CDSCompU0021U006F:="U1ECD"
CRCU1ECD.=" SCompU0021U006F"
-CDU0000U006F:="U1ECD"
-CRCU1ECD.=" U0000U006F"
-CDU0000U004F:="U1ECE"
-CRCU1ECE.=" U0000U004F"
+CDTCbdtU006F:="U1ECD"
+CRCU1ECD.=" TCbdtU006F"
+CDThookU004F:="U1ECE"
+CRCU1ECE.=" ThookU004F"
CDSCompU003FU004F:="U1ECE"
CRCU1ECE.=" SCompU003FU004F"
-CDU0000U004F:="U1ECE"
-CRCU1ECE.=" U0000U004F"
-CDU0000U006F:="U1ECF"
-CRCU1ECF.=" U0000U006F"
+CDTChokU004F:="U1ECE"
+CRCU1ECE.=" TChokU004F"
+CDThookU006F:="U1ECF"
+CRCU1ECF.=" ThookU006F"
CDSCompU003FU006F:="U1ECF"
CRCU1ECF.=" SCompU003FU006F"
-CDU0000U006F:="U1ECF"
-CRCU1ECF.=" U0000U006F"
+CDTChokU006F:="U1ECF"
+CRCU1ECF.=" TChokU006F"
CDTacutU00D4:="U1ED0"
CRCU1ED0.=" TacutU00D4"
CDSCompU00B4U00D4:="U1ED0"
@@ -5591,12 +5632,12 @@ CDSCompU0027TcflxU004F:="U1ED0"
CRCU1ED0.=" SCompU0027TcflxU004F"
CDSCompU0027U005EU004F:="U1ED0"
CRCU1ED0.=" SCompU0027U005EU004F"
-CDU0000U00D4:="U1ED0"
-CRCU1ED0.=" U0000U00D4"
-CDU0000TcflxU004F:="U1ED0"
-CRCU1ED0.=" U0000TcflxU004F"
-CDU0000SCompU005EU004F:="U1ED0"
-CRCU1ED0.=" U0000SCompU005EU004F"
+CDTCactU00D4:="U1ED0"
+CRCU1ED0.=" TCactU00D4"
+CDTCactTcflxU004F:="U1ED0"
+CRCU1ED0.=" TCactTcflxU004F"
+CDTCactSCompU005EU004F:="U1ED0"
+CRCU1ED0.=" TCactSCompU005EU004F"
CDTacutU00F4:="U1ED1"
CRCU1ED1.=" TacutU00F4"
CDSCompU00B4U00F4:="U1ED1"
@@ -5615,12 +5656,12 @@ CDSCompU0027TcflxU006F:="U1ED1"
CRCU1ED1.=" SCompU0027TcflxU006F"
CDSCompU0027U005EU006F:="U1ED1"
CRCU1ED1.=" SCompU0027U005EU006F"
-CDU0000U00F4:="U1ED1"
-CRCU1ED1.=" U0000U00F4"
-CDU0000TcflxU006F:="U1ED1"
-CRCU1ED1.=" U0000TcflxU006F"
-CDU0000SCompU005EU006F:="U1ED1"
-CRCU1ED1.=" U0000SCompU005EU006F"
+CDTCactU00F4:="U1ED1"
+CRCU1ED1.=" TCactU00F4"
+CDTCactTcflxU006F:="U1ED1"
+CRCU1ED1.=" TCactTcflxU006F"
+CDTCactSCompU005EU006F:="U1ED1"
+CRCU1ED1.=" TCactSCompU005EU006F"
CDTgravU00D4:="U1ED2"
CRCU1ED2.=" TgravU00D4"
CDSCompU0060U00D4:="U1ED2"
@@ -5633,12 +5674,12 @@ CDSCompU0060TcflxU004F:="U1ED2"
CRCU1ED2.=" SCompU0060TcflxU004F"
CDSCompU0060U005EU004F:="U1ED2"
CRCU1ED2.=" SCompU0060U005EU004F"
-CDU0000U00D4:="U1ED2"
-CRCU1ED2.=" U0000U00D4"
-CDU0000TcflxU004F:="U1ED2"
-CRCU1ED2.=" U0000TcflxU004F"
-CDU0000SCompU005EU004F:="U1ED2"
-CRCU1ED2.=" U0000SCompU005EU004F"
+CDTCgrvU00D4:="U1ED2"
+CRCU1ED2.=" TCgrvU00D4"
+CDTCgrvTcflxU004F:="U1ED2"
+CRCU1ED2.=" TCgrvTcflxU004F"
+CDTCgrvSCompU005EU004F:="U1ED2"
+CRCU1ED2.=" TCgrvSCompU005EU004F"
CDTgravU00F4:="U1ED3"
CRCU1ED3.=" TgravU00F4"
CDSCompU0060U00F4:="U1ED3"
@@ -5651,48 +5692,48 @@ CDSCompU0060TcflxU006F:="U1ED3"
CRCU1ED3.=" SCompU0060TcflxU006F"
CDSCompU0060U005EU006F:="U1ED3"
CRCU1ED3.=" SCompU0060U005EU006F"
-CDU0000U00F4:="U1ED3"
-CRCU1ED3.=" U0000U00F4"
-CDU0000TcflxU006F:="U1ED3"
-CRCU1ED3.=" U0000TcflxU006F"
-CDU0000SCompU005EU006F:="U1ED3"
-CRCU1ED3.=" U0000SCompU005EU006F"
-CDU0000U00D4:="U1ED4"
-CRCU1ED4.=" U0000U00D4"
+CDTCgrvU00F4:="U1ED3"
+CRCU1ED3.=" TCgrvU00F4"
+CDTCgrvTcflxU006F:="U1ED3"
+CRCU1ED3.=" TCgrvTcflxU006F"
+CDTCgrvSCompU005EU006F:="U1ED3"
+CRCU1ED3.=" TCgrvSCompU005EU006F"
+CDThookU00D4:="U1ED4"
+CRCU1ED4.=" ThookU00D4"
CDSCompU003FU00D4:="U1ED4"
CRCU1ED4.=" SCompU003FU00D4"
-CDU0000TcflxU004F:="U1ED4"
-CRCU1ED4.=" U0000TcflxU004F"
-CDU0000SCompU005EU004F:="U1ED4"
-CRCU1ED4.=" U0000SCompU005EU004F"
+CDThookTcflxU004F:="U1ED4"
+CRCU1ED4.=" ThookTcflxU004F"
+CDThookSCompU005EU004F:="U1ED4"
+CRCU1ED4.=" ThookSCompU005EU004F"
CDSCompU003FTcflxU004F:="U1ED4"
CRCU1ED4.=" SCompU003FTcflxU004F"
CDSCompU003FU005EU004F:="U1ED4"
CRCU1ED4.=" SCompU003FU005EU004F"
-CDU0000U00D4:="U1ED4"
-CRCU1ED4.=" U0000U00D4"
-CDU0000TcflxU004F:="U1ED4"
-CRCU1ED4.=" U0000TcflxU004F"
-CDU0000SCompU005EU004F:="U1ED4"
-CRCU1ED4.=" U0000SCompU005EU004F"
-CDU0000U00F4:="U1ED5"
-CRCU1ED5.=" U0000U00F4"
+CDTChokU00D4:="U1ED4"
+CRCU1ED4.=" TChokU00D4"
+CDTChokTcflxU004F:="U1ED4"
+CRCU1ED4.=" TChokTcflxU004F"
+CDTChokSCompU005EU004F:="U1ED4"
+CRCU1ED4.=" TChokSCompU005EU004F"
+CDThookU00F4:="U1ED5"
+CRCU1ED5.=" ThookU00F4"
CDSCompU003FU00F4:="U1ED5"
CRCU1ED5.=" SCompU003FU00F4"
-CDU0000TcflxU006F:="U1ED5"
-CRCU1ED5.=" U0000TcflxU006F"
-CDU0000SCompU005EU006F:="U1ED5"
-CRCU1ED5.=" U0000SCompU005EU006F"
+CDThookTcflxU006F:="U1ED5"
+CRCU1ED5.=" ThookTcflxU006F"
+CDThookSCompU005EU006F:="U1ED5"
+CRCU1ED5.=" ThookSCompU005EU006F"
CDSCompU003FTcflxU006F:="U1ED5"
CRCU1ED5.=" SCompU003FTcflxU006F"
CDSCompU003FU005EU006F:="U1ED5"
CRCU1ED5.=" SCompU003FU005EU006F"
-CDU0000U00F4:="U1ED5"
-CRCU1ED5.=" U0000U00F4"
-CDU0000TcflxU006F:="U1ED5"
-CRCU1ED5.=" U0000TcflxU006F"
-CDU0000SCompU005EU006F:="U1ED5"
-CRCU1ED5.=" U0000SCompU005EU006F"
+CDTChokU00F4:="U1ED5"
+CRCU1ED5.=" TChokU00F4"
+CDTChokTcflxU006F:="U1ED5"
+CRCU1ED5.=" TChokTcflxU006F"
+CDTChokSCompU005EU006F:="U1ED5"
+CRCU1ED5.=" TChokSCompU005EU006F"
CDTtldeU00D4:="U1ED6"
CRCU1ED6.=" TtldeU00D4"
CDSCompU007EU00D4:="U1ED6"
@@ -5705,12 +5746,12 @@ CDSCompU007ETcflxU004F:="U1ED6"
CRCU1ED6.=" SCompU007ETcflxU004F"
CDSCompU007EU005EU004F:="U1ED6"
CRCU1ED6.=" SCompU007EU005EU004F"
-CDU0000U00D4:="U1ED6"
-CRCU1ED6.=" U0000U00D4"
-CDU0000TcflxU004F:="U1ED6"
-CRCU1ED6.=" U0000TcflxU004F"
-CDU0000SCompU005EU004F:="U1ED6"
-CRCU1ED6.=" U0000SCompU005EU004F"
+CDTCtldU00D4:="U1ED6"
+CRCU1ED6.=" TCtldU00D4"
+CDTCtldTcflxU004F:="U1ED6"
+CRCU1ED6.=" TCtldTcflxU004F"
+CDTCtldSCompU005EU004F:="U1ED6"
+CRCU1ED6.=" TCtldSCompU005EU004F"
CDTtldeU00F4:="U1ED7"
CRCU1ED7.=" TtldeU00F4"
CDSCompU007EU00F4:="U1ED7"
@@ -5723,12 +5764,12 @@ CDSCompU007ETcflxU006F:="U1ED7"
CRCU1ED7.=" SCompU007ETcflxU006F"
CDSCompU007EU005EU006F:="U1ED7"
CRCU1ED7.=" SCompU007EU005EU006F"
-CDU0000U00F4:="U1ED7"
-CRCU1ED7.=" U0000U00F4"
-CDU0000TcflxU006F:="U1ED7"
-CRCU1ED7.=" U0000TcflxU006F"
-CDU0000SCompU005EU006F:="U1ED7"
-CRCU1ED7.=" U0000SCompU005EU006F"
+CDTCtldU00F4:="U1ED7"
+CRCU1ED7.=" TCtldU00F4"
+CDTCtldTcflxU006F:="U1ED7"
+CRCU1ED7.=" TCtldTcflxU006F"
+CDTCtldSCompU005EU006F:="U1ED7"
+CRCU1ED7.=" TCtldSCompU005EU006F"
CDTcflxU1ecc:="U1ED8"
CRCU1ED8.=" TcflxU1ecc"
CDSCompU005EU1ecc:="U1ED8"
@@ -5741,10 +5782,10 @@ CDSCompU005ETbldtU004F:="U1ED8"
CRCU1ED8.=" SCompU005ETbldtU004F"
CDSCompU005EU0021U004F:="U1ED8"
CRCU1ED8.=" SCompU005EU0021U004F"
-CDTcflxU0000U004F:="U1ED8"
-CRCU1ED8.=" TcflxU0000U004F"
-CDSCompU005EU0000U004F:="U1ED8"
-CRCU1ED8.=" SCompU005EU0000U004F"
+CDTcflxTCbdtU004F:="U1ED8"
+CRCU1ED8.=" TcflxTCbdtU004F"
+CDSCompU005ETCbdtU004F:="U1ED8"
+CRCU1ED8.=" SCompU005ETCbdtU004F"
CDTbldtU00D4:="U1ED8"
CRCU1ED8.=" TbldtU00D4"
CDTcflxU1ecd:="U1ED9"
@@ -5759,10 +5800,10 @@ CDSCompU005ETbldtU006F:="U1ED9"
CRCU1ED9.=" SCompU005ETbldtU006F"
CDSCompU005EU0021U006F:="U1ED9"
CRCU1ED9.=" SCompU005EU0021U006F"
-CDTcflxU0000U006F:="U1ED9"
-CRCU1ED9.=" TcflxU0000U006F"
-CDSCompU005EU0000U006F:="U1ED9"
-CRCU1ED9.=" SCompU005EU0000U006F"
+CDTcflxTCbdtU006F:="U1ED9"
+CRCU1ED9.=" TcflxTCbdtU006F"
+CDSCompU005ETCbdtU006F:="U1ED9"
+CRCU1ED9.=" SCompU005ETCbdtU006F"
CDTbldtU00F4:="U1ED9"
CRCU1ED9.=" TbldtU00F4"
CDTacutU01A0:="U1EDA"
@@ -5773,28 +5814,32 @@ CDSCompU00B4U01A0:="U1EDA"
CRCU1EDA.=" SCompU00B4U01A0"
CDSCompU0027U01A0:="U1EDA"
CRCU1EDA.=" SCompU0027U01A0"
-CDTacutU0000U004F:="U1EDA"
-CRCU1EDA.=" TacutU0000U004F"
+CMTacutThorn:=1
+CDTacutThornU004F:="U1EDA"
+CRCU1EDA.=" TacutThornU004F"
CMTacutSCompU002B:=1
CDTacutSCompU002BU004F:="U1EDA"
CRCU1EDA.=" TacutSCompU002BU004F"
-CDSCompU00B4U0000U004F:="U1EDA"
-CRCU1EDA.=" SCompU00B4U0000U004F"
+CMSCompU00B4Thorn:=1
+CDSCompU00B4ThornU004F:="U1EDA"
+CRCU1EDA.=" SCompU00B4ThornU004F"
CMSCompU00B4U002B:=1
CDSCompU00B4U002BU004F:="U1EDA"
CRCU1EDA.=" SCompU00B4U002BU004F"
-CDSCompU0027U0000U004F:="U1EDA"
-CRCU1EDA.=" SCompU0027U0000U004F"
+CMSCompU0027Thorn:=1
+CDSCompU0027ThornU004F:="U1EDA"
+CRCU1EDA.=" SCompU0027ThornU004F"
CMSCompU0027U002B:=1
CDSCompU0027U002BU004F:="U1EDA"
CRCU1EDA.=" SCompU0027U002BU004F"
-CDU0000U01A0:="U1EDA"
-CRCU1EDA.=" U0000U01A0"
-CDU0000U0000U004F:="U1EDA"
-CRCU1EDA.=" U0000U0000U004F"
-CMU0000SCompU002B:=1
-CDU0000SCompU002BU004F:="U1EDA"
-CRCU1EDA.=" U0000SCompU002BU004F"
+CDTCactU01A0:="U1EDA"
+CRCU1EDA.=" TCactU01A0"
+CMTCactThorn:=1
+CDTCactThornU004F:="U1EDA"
+CRCU1EDA.=" TCactThornU004F"
+CMTCactSCompU002B:=1
+CDTCactSCompU002BU004F:="U1EDA"
+CRCU1EDA.=" TCactSCompU002BU004F"
CDTacutU01A1:="U1EDB"
CRCU1EDB.=" TacutU01A1"
CDTacutU01A1:="U1EDB"
@@ -5803,223 +5848,234 @@ CDSCompU00B4U01A1:="U1EDB"
CRCU1EDB.=" SCompU00B4U01A1"
CDSCompU0027U01A1:="U1EDB"
CRCU1EDB.=" SCompU0027U01A1"
-CDTacutU0000U006F:="U1EDB"
-CRCU1EDB.=" TacutU0000U006F"
+CDTacutThornU006F:="U1EDB"
+CRCU1EDB.=" TacutThornU006F"
CDTacutSCompU002BU006F:="U1EDB"
CRCU1EDB.=" TacutSCompU002BU006F"
-CDSCompU00B4U0000U006F:="U1EDB"
-CRCU1EDB.=" SCompU00B4U0000U006F"
+CDSCompU00B4ThornU006F:="U1EDB"
+CRCU1EDB.=" SCompU00B4ThornU006F"
CDSCompU00B4U002BU006F:="U1EDB"
CRCU1EDB.=" SCompU00B4U002BU006F"
-CDSCompU0027U0000U006F:="U1EDB"
-CRCU1EDB.=" SCompU0027U0000U006F"
+CDSCompU0027ThornU006F:="U1EDB"
+CRCU1EDB.=" SCompU0027ThornU006F"
CDSCompU0027U002BU006F:="U1EDB"
CRCU1EDB.=" SCompU0027U002BU006F"
-CDU0000U01A1:="U1EDB"
-CRCU1EDB.=" U0000U01A1"
-CDU0000U0000U006F:="U1EDB"
-CRCU1EDB.=" U0000U0000U006F"
-CDU0000SCompU002BU006F:="U1EDB"
-CRCU1EDB.=" U0000SCompU002BU006F"
+CDTCactU01A1:="U1EDB"
+CRCU1EDB.=" TCactU01A1"
+CDTCactThornU006F:="U1EDB"
+CRCU1EDB.=" TCactThornU006F"
+CDTCactSCompU002BU006F:="U1EDB"
+CRCU1EDB.=" TCactSCompU002BU006F"
CDTgravU01A0:="U1EDC"
CRCU1EDC.=" TgravU01A0"
CDTgravU01A0:="U1EDC"
CRCU1EDC.=" TgravU01A0"
CDSCompU0060U01A0:="U1EDC"
CRCU1EDC.=" SCompU0060U01A0"
-CMTgravU0000:=1
-CDTgravU0000U004F:="U1EDC"
-CRCU1EDC.=" TgravU0000U004F"
+CMTgravThorn:=1
+CDTgravThornU004F:="U1EDC"
+CRCU1EDC.=" TgravThornU004F"
CMTgravSCompU002B:=1
CDTgravSCompU002BU004F:="U1EDC"
CRCU1EDC.=" TgravSCompU002BU004F"
-CMSCompU0060U0000:=1
-CDSCompU0060U0000U004F:="U1EDC"
-CRCU1EDC.=" SCompU0060U0000U004F"
+CMSCompU0060Thorn:=1
+CDSCompU0060ThornU004F:="U1EDC"
+CRCU1EDC.=" SCompU0060ThornU004F"
CMSCompU0060U002B:=1
CDSCompU0060U002BU004F:="U1EDC"
CRCU1EDC.=" SCompU0060U002BU004F"
-CDU0000U01A0:="U1EDC"
-CRCU1EDC.=" U0000U01A0"
-CDU0000U0000U004F:="U1EDC"
-CRCU1EDC.=" U0000U0000U004F"
-CDU0000SCompU002BU004F:="U1EDC"
-CRCU1EDC.=" U0000SCompU002BU004F"
+CDTCgrvU01A0:="U1EDC"
+CRCU1EDC.=" TCgrvU01A0"
+CMTCgrvThorn:=1
+CDTCgrvThornU004F:="U1EDC"
+CRCU1EDC.=" TCgrvThornU004F"
+CMTCgrvSCompU002B:=1
+CDTCgrvSCompU002BU004F:="U1EDC"
+CRCU1EDC.=" TCgrvSCompU002BU004F"
CDTgravU01A1:="U1EDD"
CRCU1EDD.=" TgravU01A1"
CDTgravU01A1:="U1EDD"
CRCU1EDD.=" TgravU01A1"
CDSCompU0060U01A1:="U1EDD"
CRCU1EDD.=" SCompU0060U01A1"
-CDTgravU0000U006F:="U1EDD"
-CRCU1EDD.=" TgravU0000U006F"
+CDTgravThornU006F:="U1EDD"
+CRCU1EDD.=" TgravThornU006F"
CDTgravSCompU002BU006F:="U1EDD"
CRCU1EDD.=" TgravSCompU002BU006F"
-CDSCompU0060U0000U006F:="U1EDD"
-CRCU1EDD.=" SCompU0060U0000U006F"
+CDSCompU0060ThornU006F:="U1EDD"
+CRCU1EDD.=" SCompU0060ThornU006F"
CDSCompU0060U002BU006F:="U1EDD"
CRCU1EDD.=" SCompU0060U002BU006F"
-CDU0000U01A1:="U1EDD"
-CRCU1EDD.=" U0000U01A1"
-CDU0000U0000U006F:="U1EDD"
-CRCU1EDD.=" U0000U0000U006F"
-CDU0000SCompU002BU006F:="U1EDD"
-CRCU1EDD.=" U0000SCompU002BU006F"
-CDU0000U01A0:="U1EDE"
-CRCU1EDE.=" U0000U01A0"
-CDU0000U01A0:="U1EDE"
-CRCU1EDE.=" U0000U01A0"
+CDTCgrvU01A1:="U1EDD"
+CRCU1EDD.=" TCgrvU01A1"
+CDTCgrvThornU006F:="U1EDD"
+CRCU1EDD.=" TCgrvThornU006F"
+CDTCgrvSCompU002BU006F:="U1EDD"
+CRCU1EDD.=" TCgrvSCompU002BU006F"
+CDThookU01A0:="U1EDE"
+CRCU1EDE.=" ThookU01A0"
+CDThookU01A0:="U1EDE"
+CRCU1EDE.=" ThookU01A0"
CDSCompU003FU01A0:="U1EDE"
CRCU1EDE.=" SCompU003FU01A0"
-CDU0000U0000U004F:="U1EDE"
-CRCU1EDE.=" U0000U0000U004F"
-CDU0000SCompU002BU004F:="U1EDE"
-CRCU1EDE.=" U0000SCompU002BU004F"
-CMSCompU003FU0000:=1
-CDSCompU003FU0000U004F:="U1EDE"
-CRCU1EDE.=" SCompU003FU0000U004F"
+CMThookThorn:=1
+CDThookThornU004F:="U1EDE"
+CRCU1EDE.=" ThookThornU004F"
+CMThookSCompU002B:=1
+CDThookSCompU002BU004F:="U1EDE"
+CRCU1EDE.=" ThookSCompU002BU004F"
+CMSCompU003FThorn:=1
+CDSCompU003FThornU004F:="U1EDE"
+CRCU1EDE.=" SCompU003FThornU004F"
CMSCompU003FU002B:=1
CDSCompU003FU002BU004F:="U1EDE"
CRCU1EDE.=" SCompU003FU002BU004F"
-CDU0000U01A0:="U1EDE"
-CRCU1EDE.=" U0000U01A0"
-CDU0000U0000U004F:="U1EDE"
-CRCU1EDE.=" U0000U0000U004F"
-CDU0000SCompU002BU004F:="U1EDE"
-CRCU1EDE.=" U0000SCompU002BU004F"
-CDU0000U01A1:="U1EDF"
-CRCU1EDF.=" U0000U01A1"
-CDU0000U01A1:="U1EDF"
-CRCU1EDF.=" U0000U01A1"
+CDTChokU01A0:="U1EDE"
+CRCU1EDE.=" TChokU01A0"
+CMTChokThorn:=1
+CDTChokThornU004F:="U1EDE"
+CRCU1EDE.=" TChokThornU004F"
+CMTChokSCompU002B:=1
+CDTChokSCompU002BU004F:="U1EDE"
+CRCU1EDE.=" TChokSCompU002BU004F"
+CDThookU01A1:="U1EDF"
+CRCU1EDF.=" ThookU01A1"
+CDThookU01A1:="U1EDF"
+CRCU1EDF.=" ThookU01A1"
CDSCompU003FU01A1:="U1EDF"
CRCU1EDF.=" SCompU003FU01A1"
-CDU0000U0000U006F:="U1EDF"
-CRCU1EDF.=" U0000U0000U006F"
-CDU0000SCompU002BU006F:="U1EDF"
-CRCU1EDF.=" U0000SCompU002BU006F"
-CDSCompU003FU0000U006F:="U1EDF"
-CRCU1EDF.=" SCompU003FU0000U006F"
+CDThookThornU006F:="U1EDF"
+CRCU1EDF.=" ThookThornU006F"
+CDThookSCompU002BU006F:="U1EDF"
+CRCU1EDF.=" ThookSCompU002BU006F"
+CDSCompU003FThornU006F:="U1EDF"
+CRCU1EDF.=" SCompU003FThornU006F"
CDSCompU003FU002BU006F:="U1EDF"
CRCU1EDF.=" SCompU003FU002BU006F"
-CDU0000U01A1:="U1EDF"
-CRCU1EDF.=" U0000U01A1"
-CDU0000U0000U006F:="U1EDF"
-CRCU1EDF.=" U0000U0000U006F"
-CDU0000SCompU002BU006F:="U1EDF"
-CRCU1EDF.=" U0000SCompU002BU006F"
+CDTChokU01A1:="U1EDF"
+CRCU1EDF.=" TChokU01A1"
+CDTChokThornU006F:="U1EDF"
+CRCU1EDF.=" TChokThornU006F"
+CDTChokSCompU002BU006F:="U1EDF"
+CRCU1EDF.=" TChokSCompU002BU006F"
CDTtldeU01A0:="U1EE0"
CRCU1EE0.=" TtldeU01A0"
CDTtldeU01A0:="U1EE0"
CRCU1EE0.=" TtldeU01A0"
CDSCompU007EU01A0:="U1EE0"
CRCU1EE0.=" SCompU007EU01A0"
-CMTtldeU0000:=1
-CDTtldeU0000U004F:="U1EE0"
-CRCU1EE0.=" TtldeU0000U004F"
+CMTtldeThorn:=1
+CDTtldeThornU004F:="U1EE0"
+CRCU1EE0.=" TtldeThornU004F"
CMTtldeSCompU002B:=1
CDTtldeSCompU002BU004F:="U1EE0"
CRCU1EE0.=" TtldeSCompU002BU004F"
-CMSCompU007EU0000:=1
-CDSCompU007EU0000U004F:="U1EE0"
-CRCU1EE0.=" SCompU007EU0000U004F"
+CMSCompU007EThorn:=1
+CDSCompU007EThornU004F:="U1EE0"
+CRCU1EE0.=" SCompU007EThornU004F"
CMSCompU007EU002B:=1
CDSCompU007EU002BU004F:="U1EE0"
CRCU1EE0.=" SCompU007EU002BU004F"
-CDU0000U01A0:="U1EE0"
-CRCU1EE0.=" U0000U01A0"
-CDU0000U0000U004F:="U1EE0"
-CRCU1EE0.=" U0000U0000U004F"
-CDU0000SCompU002BU004F:="U1EE0"
-CRCU1EE0.=" U0000SCompU002BU004F"
+CDTCtldU01A0:="U1EE0"
+CRCU1EE0.=" TCtldU01A0"
+CMTCtldThorn:=1
+CDTCtldThornU004F:="U1EE0"
+CRCU1EE0.=" TCtldThornU004F"
+CMTCtldSCompU002B:=1
+CDTCtldSCompU002BU004F:="U1EE0"
+CRCU1EE0.=" TCtldSCompU002BU004F"
CDTtldeU01A1:="U1EE1"
CRCU1EE1.=" TtldeU01A1"
CDTtldeU01A1:="U1EE1"
CRCU1EE1.=" TtldeU01A1"
CDSCompU007EU01A1:="U1EE1"
CRCU1EE1.=" SCompU007EU01A1"
-CDTtldeU0000U006F:="U1EE1"
-CRCU1EE1.=" TtldeU0000U006F"
+CDTtldeThornU006F:="U1EE1"
+CRCU1EE1.=" TtldeThornU006F"
CDTtldeSCompU002BU006F:="U1EE1"
CRCU1EE1.=" TtldeSCompU002BU006F"
-CDSCompU007EU0000U006F:="U1EE1"
-CRCU1EE1.=" SCompU007EU0000U006F"
+CDSCompU007EThornU006F:="U1EE1"
+CRCU1EE1.=" SCompU007EThornU006F"
CDSCompU007EU002BU006F:="U1EE1"
CRCU1EE1.=" SCompU007EU002BU006F"
-CDU0000U01A1:="U1EE1"
-CRCU1EE1.=" U0000U01A1"
-CDU0000U0000U006F:="U1EE1"
-CRCU1EE1.=" U0000U0000U006F"
-CDU0000SCompU002BU006F:="U1EE1"
-CRCU1EE1.=" U0000SCompU002BU006F"
+CDTCtldU01A1:="U1EE1"
+CRCU1EE1.=" TCtldU01A1"
+CDTCtldThornU006F:="U1EE1"
+CRCU1EE1.=" TCtldThornU006F"
+CDTCtldSCompU002BU006F:="U1EE1"
+CRCU1EE1.=" TCtldSCompU002BU006F"
CDTbldtU01A0:="U1EE2"
CRCU1EE2.=" TbldtU01A0"
CDTbldtU01A0:="U1EE2"
CRCU1EE2.=" TbldtU01A0"
CDSCompU0021U01A0:="U1EE2"
CRCU1EE2.=" SCompU0021U01A0"
-CMTbldtU0000:=1
-CDTbldtU0000U004F:="U1EE2"
-CRCU1EE2.=" TbldtU0000U004F"
+CMTbldtThorn:=1
+CDTbldtThornU004F:="U1EE2"
+CRCU1EE2.=" TbldtThornU004F"
CMTbldtSComp:=1
CMTbldtSCompU002B:=1
CDTbldtSCompU002BU004F:="U1EE2"
CRCU1EE2.=" TbldtSCompU002BU004F"
-CMSCompU0021U0000:=1
-CDSCompU0021U0000U004F:="U1EE2"
-CRCU1EE2.=" SCompU0021U0000U004F"
+CMSCompU0021Thorn:=1
+CDSCompU0021ThornU004F:="U1EE2"
+CRCU1EE2.=" SCompU0021ThornU004F"
CMSCompU0021U002B:=1
CDSCompU0021U002BU004F:="U1EE2"
CRCU1EE2.=" SCompU0021U002BU004F"
-CDU0000U01A0:="U1EE2"
-CRCU1EE2.=" U0000U01A0"
-CDU0000U0000U004F:="U1EE2"
-CRCU1EE2.=" U0000U0000U004F"
-CDU0000SCompU002BU004F:="U1EE2"
-CRCU1EE2.=" U0000SCompU002BU004F"
+CDTCbdtU01A0:="U1EE2"
+CRCU1EE2.=" TCbdtU01A0"
+CMTCbdtThorn:=1
+CDTCbdtThornU004F:="U1EE2"
+CRCU1EE2.=" TCbdtThornU004F"
+CMTCbdtSComp:=1
+CMTCbdtSCompU002B:=1
+CDTCbdtSCompU002BU004F:="U1EE2"
+CRCU1EE2.=" TCbdtSCompU002BU004F"
CDTbldtU01A1:="U1EE3"
CRCU1EE3.=" TbldtU01A1"
CDTbldtU01A1:="U1EE3"
CRCU1EE3.=" TbldtU01A1"
CDSCompU0021U01A1:="U1EE3"
CRCU1EE3.=" SCompU0021U01A1"
-CDTbldtU0000U006F:="U1EE3"
-CRCU1EE3.=" TbldtU0000U006F"
+CDTbldtThornU006F:="U1EE3"
+CRCU1EE3.=" TbldtThornU006F"
CDTbldtSCompU002BU006F:="U1EE3"
CRCU1EE3.=" TbldtSCompU002BU006F"
-CDSCompU0021U0000U006F:="U1EE3"
-CRCU1EE3.=" SCompU0021U0000U006F"
+CDSCompU0021ThornU006F:="U1EE3"
+CRCU1EE3.=" SCompU0021ThornU006F"
CDSCompU0021U002BU006F:="U1EE3"
CRCU1EE3.=" SCompU0021U002BU006F"
-CDU0000U01A1:="U1EE3"
-CRCU1EE3.=" U0000U01A1"
-CDU0000U0000U006F:="U1EE3"
-CRCU1EE3.=" U0000U0000U006F"
-CDU0000SCompU002BU006F:="U1EE3"
-CRCU1EE3.=" U0000SCompU002BU006F"
+CDTCbdtU01A1:="U1EE3"
+CRCU1EE3.=" TCbdtU01A1"
+CDTCbdtThornU006F:="U1EE3"
+CRCU1EE3.=" TCbdtThornU006F"
+CDTCbdtSCompU002BU006F:="U1EE3"
+CRCU1EE3.=" TCbdtSCompU002BU006F"
CDTbldtU0055:="U1EE4"
CRCU1EE4.=" TbldtU0055"
CDSCompU0021U0055:="U1EE4"
CRCU1EE4.=" SCompU0021U0055"
-CDU0000U0055:="U1EE4"
-CRCU1EE4.=" U0000U0055"
+CDTCbdtU0055:="U1EE4"
+CRCU1EE4.=" TCbdtU0055"
CDTbldtU0075:="U1EE5"
CRCU1EE5.=" TbldtU0075"
CDSCompU0021U0075:="U1EE5"
CRCU1EE5.=" SCompU0021U0075"
-CDU0000U0075:="U1EE5"
-CRCU1EE5.=" U0000U0075"
-CDU0000U0055:="U1EE6"
-CRCU1EE6.=" U0000U0055"
+CDTCbdtU0075:="U1EE5"
+CRCU1EE5.=" TCbdtU0075"
+CDThookU0055:="U1EE6"
+CRCU1EE6.=" ThookU0055"
CDSCompU003FU0055:="U1EE6"
CRCU1EE6.=" SCompU003FU0055"
-CDU0000U0055:="U1EE6"
-CRCU1EE6.=" U0000U0055"
-CDU0000U0075:="U1EE7"
-CRCU1EE7.=" U0000U0075"
+CDTChokU0055:="U1EE6"
+CRCU1EE6.=" TChokU0055"
+CDThookU0075:="U1EE7"
+CRCU1EE7.=" ThookU0075"
CDSCompU003FU0075:="U1EE7"
CRCU1EE7.=" SCompU003FU0075"
-CDU0000U0075:="U1EE7"
-CRCU1EE7.=" U0000U0075"
+CDTChokU0075:="U1EE7"
+CRCU1EE7.=" TChokU0075"
CDTacutU01AF:="U1EE8"
CRCU1EE8.=" TacutU01AF"
CDTacutU01AF:="U1EE8"
@@ -6028,24 +6084,24 @@ CDSCompU00B4U01AF:="U1EE8"
CRCU1EE8.=" SCompU00B4U01AF"
CDSCompU0027U01AF:="U1EE8"
CRCU1EE8.=" SCompU0027U01AF"
-CDTacutU0000U0055:="U1EE8"
-CRCU1EE8.=" TacutU0000U0055"
+CDTacutThornU0055:="U1EE8"
+CRCU1EE8.=" TacutThornU0055"
CDTacutSCompU002BU0055:="U1EE8"
CRCU1EE8.=" TacutSCompU002BU0055"
-CDSCompU00B4U0000U0055:="U1EE8"
-CRCU1EE8.=" SCompU00B4U0000U0055"
+CDSCompU00B4ThornU0055:="U1EE8"
+CRCU1EE8.=" SCompU00B4ThornU0055"
CDSCompU00B4U002BU0055:="U1EE8"
CRCU1EE8.=" SCompU00B4U002BU0055"
-CDSCompU0027U0000U0055:="U1EE8"
-CRCU1EE8.=" SCompU0027U0000U0055"
+CDSCompU0027ThornU0055:="U1EE8"
+CRCU1EE8.=" SCompU0027ThornU0055"
CDSCompU0027U002BU0055:="U1EE8"
CRCU1EE8.=" SCompU0027U002BU0055"
-CDU0000U01AF:="U1EE8"
-CRCU1EE8.=" U0000U01AF"
-CDU0000U0000U0055:="U1EE8"
-CRCU1EE8.=" U0000U0000U0055"
-CDU0000SCompU002BU0055:="U1EE8"
-CRCU1EE8.=" U0000SCompU002BU0055"
+CDTCactU01AF:="U1EE8"
+CRCU1EE8.=" TCactU01AF"
+CDTCactThornU0055:="U1EE8"
+CRCU1EE8.=" TCactThornU0055"
+CDTCactSCompU002BU0055:="U1EE8"
+CRCU1EE8.=" TCactSCompU002BU0055"
CDTacutU01B0:="U1EE9"
CRCU1EE9.=" TacutU01B0"
CDTacutU01B0:="U1EE9"
@@ -6054,232 +6110,232 @@ CDSCompU00B4U01B0:="U1EE9"
CRCU1EE9.=" SCompU00B4U01B0"
CDSCompU0027U01B0:="U1EE9"
CRCU1EE9.=" SCompU0027U01B0"
-CDTacutU0000U0075:="U1EE9"
-CRCU1EE9.=" TacutU0000U0075"
+CDTacutThornU0075:="U1EE9"
+CRCU1EE9.=" TacutThornU0075"
CDTacutSCompU002BU0075:="U1EE9"
CRCU1EE9.=" TacutSCompU002BU0075"
-CDSCompU00B4U0000U0075:="U1EE9"
-CRCU1EE9.=" SCompU00B4U0000U0075"
+CDSCompU00B4ThornU0075:="U1EE9"
+CRCU1EE9.=" SCompU00B4ThornU0075"
CDSCompU00B4U002BU0075:="U1EE9"
CRCU1EE9.=" SCompU00B4U002BU0075"
-CDSCompU0027U0000U0075:="U1EE9"
-CRCU1EE9.=" SCompU0027U0000U0075"
+CDSCompU0027ThornU0075:="U1EE9"
+CRCU1EE9.=" SCompU0027ThornU0075"
CDSCompU0027U002BU0075:="U1EE9"
CRCU1EE9.=" SCompU0027U002BU0075"
-CDU0000U01B0:="U1EE9"
-CRCU1EE9.=" U0000U01B0"
-CDU0000U0000U0075:="U1EE9"
-CRCU1EE9.=" U0000U0000U0075"
-CDU0000SCompU002BU0075:="U1EE9"
-CRCU1EE9.=" U0000SCompU002BU0075"
+CDTCactU01B0:="U1EE9"
+CRCU1EE9.=" TCactU01B0"
+CDTCactThornU0075:="U1EE9"
+CRCU1EE9.=" TCactThornU0075"
+CDTCactSCompU002BU0075:="U1EE9"
+CRCU1EE9.=" TCactSCompU002BU0075"
CDTgravU01AF:="U1EEA"
CRCU1EEA.=" TgravU01AF"
CDTgravU01AF:="U1EEA"
CRCU1EEA.=" TgravU01AF"
CDSCompU0060U01AF:="U1EEA"
CRCU1EEA.=" SCompU0060U01AF"
-CDTgravU0000U0055:="U1EEA"
-CRCU1EEA.=" TgravU0000U0055"
+CDTgravThornU0055:="U1EEA"
+CRCU1EEA.=" TgravThornU0055"
CDTgravSCompU002BU0055:="U1EEA"
CRCU1EEA.=" TgravSCompU002BU0055"
-CDSCompU0060U0000U0055:="U1EEA"
-CRCU1EEA.=" SCompU0060U0000U0055"
+CDSCompU0060ThornU0055:="U1EEA"
+CRCU1EEA.=" SCompU0060ThornU0055"
CDSCompU0060U002BU0055:="U1EEA"
CRCU1EEA.=" SCompU0060U002BU0055"
-CDU0000U01AF:="U1EEA"
-CRCU1EEA.=" U0000U01AF"
-CDU0000U0000U0055:="U1EEA"
-CRCU1EEA.=" U0000U0000U0055"
-CDU0000SCompU002BU0055:="U1EEA"
-CRCU1EEA.=" U0000SCompU002BU0055"
+CDTCgrvU01AF:="U1EEA"
+CRCU1EEA.=" TCgrvU01AF"
+CDTCgrvThornU0055:="U1EEA"
+CRCU1EEA.=" TCgrvThornU0055"
+CDTCgrvSCompU002BU0055:="U1EEA"
+CRCU1EEA.=" TCgrvSCompU002BU0055"
CDTgravU01B0:="U1EEB"
CRCU1EEB.=" TgravU01B0"
CDTgravU01B0:="U1EEB"
CRCU1EEB.=" TgravU01B0"
CDSCompU0060U01B0:="U1EEB"
CRCU1EEB.=" SCompU0060U01B0"
-CDTgravU0000U0075:="U1EEB"
-CRCU1EEB.=" TgravU0000U0075"
+CDTgravThornU0075:="U1EEB"
+CRCU1EEB.=" TgravThornU0075"
CDTgravSCompU002BU0075:="U1EEB"
CRCU1EEB.=" TgravSCompU002BU0075"
-CDSCompU0060U0000U0075:="U1EEB"
-CRCU1EEB.=" SCompU0060U0000U0075"
+CDSCompU0060ThornU0075:="U1EEB"
+CRCU1EEB.=" SCompU0060ThornU0075"
CDSCompU0060U002BU0075:="U1EEB"
CRCU1EEB.=" SCompU0060U002BU0075"
-CDU0000U01B0:="U1EEB"
-CRCU1EEB.=" U0000U01B0"
-CDU0000U0000U0075:="U1EEB"
-CRCU1EEB.=" U0000U0000U0075"
-CDU0000SCompU002BU0075:="U1EEB"
-CRCU1EEB.=" U0000SCompU002BU0075"
-CDU0000U01AF:="U1EEC"
-CRCU1EEC.=" U0000U01AF"
-CDU0000U01AF:="U1EEC"
-CRCU1EEC.=" U0000U01AF"
+CDTCgrvU01B0:="U1EEB"
+CRCU1EEB.=" TCgrvU01B0"
+CDTCgrvThornU0075:="U1EEB"
+CRCU1EEB.=" TCgrvThornU0075"
+CDTCgrvSCompU002BU0075:="U1EEB"
+CRCU1EEB.=" TCgrvSCompU002BU0075"
+CDThookU01AF:="U1EEC"
+CRCU1EEC.=" ThookU01AF"
+CDThookU01AF:="U1EEC"
+CRCU1EEC.=" ThookU01AF"
CDSCompU003FU01AF:="U1EEC"
CRCU1EEC.=" SCompU003FU01AF"
-CDU0000U0000U0055:="U1EEC"
-CRCU1EEC.=" U0000U0000U0055"
-CDU0000SCompU002BU0055:="U1EEC"
-CRCU1EEC.=" U0000SCompU002BU0055"
-CDSCompU003FU0000U0055:="U1EEC"
-CRCU1EEC.=" SCompU003FU0000U0055"
+CDThookThornU0055:="U1EEC"
+CRCU1EEC.=" ThookThornU0055"
+CDThookSCompU002BU0055:="U1EEC"
+CRCU1EEC.=" ThookSCompU002BU0055"
+CDSCompU003FThornU0055:="U1EEC"
+CRCU1EEC.=" SCompU003FThornU0055"
CDSCompU003FU002BU0055:="U1EEC"
CRCU1EEC.=" SCompU003FU002BU0055"
-CDU0000U01AF:="U1EEC"
-CRCU1EEC.=" U0000U01AF"
-CDU0000U0000U0055:="U1EEC"
-CRCU1EEC.=" U0000U0000U0055"
-CDU0000SCompU002BU0055:="U1EEC"
-CRCU1EEC.=" U0000SCompU002BU0055"
-CDU0000U01B0:="U1EED"
-CRCU1EED.=" U0000U01B0"
-CDU0000U01B0:="U1EED"
-CRCU1EED.=" U0000U01B0"
+CDTChokU01AF:="U1EEC"
+CRCU1EEC.=" TChokU01AF"
+CDTChokThornU0055:="U1EEC"
+CRCU1EEC.=" TChokThornU0055"
+CDTChokSCompU002BU0055:="U1EEC"
+CRCU1EEC.=" TChokSCompU002BU0055"
+CDThookU01B0:="U1EED"
+CRCU1EED.=" ThookU01B0"
+CDThookU01B0:="U1EED"
+CRCU1EED.=" ThookU01B0"
CDSCompU003FU01B0:="U1EED"
CRCU1EED.=" SCompU003FU01B0"
-CDU0000U0000U0075:="U1EED"
-CRCU1EED.=" U0000U0000U0075"
-CDU0000SCompU002BU0075:="U1EED"
-CRCU1EED.=" U0000SCompU002BU0075"
-CDSCompU003FU0000U0075:="U1EED"
-CRCU1EED.=" SCompU003FU0000U0075"
+CDThookThornU0075:="U1EED"
+CRCU1EED.=" ThookThornU0075"
+CDThookSCompU002BU0075:="U1EED"
+CRCU1EED.=" ThookSCompU002BU0075"
+CDSCompU003FThornU0075:="U1EED"
+CRCU1EED.=" SCompU003FThornU0075"
CDSCompU003FU002BU0075:="U1EED"
CRCU1EED.=" SCompU003FU002BU0075"
-CDU0000U01B0:="U1EED"
-CRCU1EED.=" U0000U01B0"
-CDU0000U0000U0075:="U1EED"
-CRCU1EED.=" U0000U0000U0075"
-CDU0000SCompU002BU0075:="U1EED"
-CRCU1EED.=" U0000SCompU002BU0075"
+CDTChokU01B0:="U1EED"
+CRCU1EED.=" TChokU01B0"
+CDTChokThornU0075:="U1EED"
+CRCU1EED.=" TChokThornU0075"
+CDTChokSCompU002BU0075:="U1EED"
+CRCU1EED.=" TChokSCompU002BU0075"
CDTtldeU01AF:="U1EEE"
CRCU1EEE.=" TtldeU01AF"
CDTtldeU01AF:="U1EEE"
CRCU1EEE.=" TtldeU01AF"
CDSCompU007EU01AF:="U1EEE"
CRCU1EEE.=" SCompU007EU01AF"
-CDTtldeU0000U0055:="U1EEE"
-CRCU1EEE.=" TtldeU0000U0055"
+CDTtldeThornU0055:="U1EEE"
+CRCU1EEE.=" TtldeThornU0055"
CDTtldeSCompU002BU0055:="U1EEE"
CRCU1EEE.=" TtldeSCompU002BU0055"
-CDSCompU007EU0000U0055:="U1EEE"
-CRCU1EEE.=" SCompU007EU0000U0055"
+CDSCompU007EThornU0055:="U1EEE"
+CRCU1EEE.=" SCompU007EThornU0055"
CDSCompU007EU002BU0055:="U1EEE"
CRCU1EEE.=" SCompU007EU002BU0055"
-CDU0000U01AF:="U1EEE"
-CRCU1EEE.=" U0000U01AF"
-CDU0000U0000U0055:="U1EEE"
-CRCU1EEE.=" U0000U0000U0055"
-CDU0000SCompU002BU0055:="U1EEE"
-CRCU1EEE.=" U0000SCompU002BU0055"
+CDTCtldU01AF:="U1EEE"
+CRCU1EEE.=" TCtldU01AF"
+CDTCtldThornU0055:="U1EEE"
+CRCU1EEE.=" TCtldThornU0055"
+CDTCtldSCompU002BU0055:="U1EEE"
+CRCU1EEE.=" TCtldSCompU002BU0055"
CDTtldeU01B0:="U1EEF"
CRCU1EEF.=" TtldeU01B0"
CDTtldeU01B0:="U1EEF"
CRCU1EEF.=" TtldeU01B0"
CDSCompU007EU01B0:="U1EEF"
CRCU1EEF.=" SCompU007EU01B0"
-CDTtldeU0000U0075:="U1EEF"
-CRCU1EEF.=" TtldeU0000U0075"
+CDTtldeThornU0075:="U1EEF"
+CRCU1EEF.=" TtldeThornU0075"
CDTtldeSCompU002BU0075:="U1EEF"
CRCU1EEF.=" TtldeSCompU002BU0075"
-CDSCompU007EU0000U0075:="U1EEF"
-CRCU1EEF.=" SCompU007EU0000U0075"
+CDSCompU007EThornU0075:="U1EEF"
+CRCU1EEF.=" SCompU007EThornU0075"
CDSCompU007EU002BU0075:="U1EEF"
CRCU1EEF.=" SCompU007EU002BU0075"
-CDU0000U01B0:="U1EEF"
-CRCU1EEF.=" U0000U01B0"
-CDU0000U0000U0075:="U1EEF"
-CRCU1EEF.=" U0000U0000U0075"
-CDU0000SCompU002BU0075:="U1EEF"
-CRCU1EEF.=" U0000SCompU002BU0075"
+CDTCtldU01B0:="U1EEF"
+CRCU1EEF.=" TCtldU01B0"
+CDTCtldThornU0075:="U1EEF"
+CRCU1EEF.=" TCtldThornU0075"
+CDTCtldSCompU002BU0075:="U1EEF"
+CRCU1EEF.=" TCtldSCompU002BU0075"
CDTbldtU01AF:="U1EF0"
CRCU1EF0.=" TbldtU01AF"
CDTbldtU01AF:="U1EF0"
CRCU1EF0.=" TbldtU01AF"
CDSCompU0021U01AF:="U1EF0"
CRCU1EF0.=" SCompU0021U01AF"
-CDTbldtU0000U0055:="U1EF0"
-CRCU1EF0.=" TbldtU0000U0055"
+CDTbldtThornU0055:="U1EF0"
+CRCU1EF0.=" TbldtThornU0055"
CDTbldtSCompU002BU0055:="U1EF0"
CRCU1EF0.=" TbldtSCompU002BU0055"
-CDSCompU0021U0000U0055:="U1EF0"
-CRCU1EF0.=" SCompU0021U0000U0055"
+CDSCompU0021ThornU0055:="U1EF0"
+CRCU1EF0.=" SCompU0021ThornU0055"
CDSCompU0021U002BU0055:="U1EF0"
CRCU1EF0.=" SCompU0021U002BU0055"
-CDU0000U01AF:="U1EF0"
-CRCU1EF0.=" U0000U01AF"
-CDU0000U0000U0055:="U1EF0"
-CRCU1EF0.=" U0000U0000U0055"
-CDU0000SCompU002BU0055:="U1EF0"
-CRCU1EF0.=" U0000SCompU002BU0055"
+CDTCbdtU01AF:="U1EF0"
+CRCU1EF0.=" TCbdtU01AF"
+CDTCbdtThornU0055:="U1EF0"
+CRCU1EF0.=" TCbdtThornU0055"
+CDTCbdtSCompU002BU0055:="U1EF0"
+CRCU1EF0.=" TCbdtSCompU002BU0055"
CDTbldtU01B0:="U1EF1"
CRCU1EF1.=" TbldtU01B0"
CDTbldtU01B0:="U1EF1"
CRCU1EF1.=" TbldtU01B0"
CDSCompU0021U01B0:="U1EF1"
CRCU1EF1.=" SCompU0021U01B0"
-CDTbldtU0000U0075:="U1EF1"
-CRCU1EF1.=" TbldtU0000U0075"
+CDTbldtThornU0075:="U1EF1"
+CRCU1EF1.=" TbldtThornU0075"
CDTbldtSCompU002BU0075:="U1EF1"
CRCU1EF1.=" TbldtSCompU002BU0075"
-CDSCompU0021U0000U0075:="U1EF1"
-CRCU1EF1.=" SCompU0021U0000U0075"
+CDSCompU0021ThornU0075:="U1EF1"
+CRCU1EF1.=" SCompU0021ThornU0075"
CDSCompU0021U002BU0075:="U1EF1"
CRCU1EF1.=" SCompU0021U002BU0075"
-CDU0000U01B0:="U1EF1"
-CRCU1EF1.=" U0000U01B0"
-CDU0000U0000U0075:="U1EF1"
-CRCU1EF1.=" U0000U0000U0075"
-CDU0000SCompU002BU0075:="U1EF1"
-CRCU1EF1.=" U0000SCompU002BU0075"
+CDTCbdtU01B0:="U1EF1"
+CRCU1EF1.=" TCbdtU01B0"
+CDTCbdtThornU0075:="U1EF1"
+CRCU1EF1.=" TCbdtThornU0075"
+CDTCbdtSCompU002BU0075:="U1EF1"
+CRCU1EF1.=" TCbdtSCompU002BU0075"
CDTgravU0059:="U1EF2"
CRCU1EF2.=" TgravU0059"
CDSCompU0060U0059:="U1EF2"
CRCU1EF2.=" SCompU0060U0059"
-CDU0000U0059:="U1EF2"
-CRCU1EF2.=" U0000U0059"
+CDTCgrvU0059:="U1EF2"
+CRCU1EF2.=" TCgrvU0059"
CDTgravU0079:="U1EF3"
CRCU1EF3.=" TgravU0079"
CDSCompU0060U0079:="U1EF3"
CRCU1EF3.=" SCompU0060U0079"
-CDU0000U0079:="U1EF3"
-CRCU1EF3.=" U0000U0079"
+CDTCgrvU0079:="U1EF3"
+CRCU1EF3.=" TCgrvU0079"
CDTbldtU0059:="U1EF4"
CRCU1EF4.=" TbldtU0059"
CDSCompU0021U0059:="U1EF4"
CRCU1EF4.=" SCompU0021U0059"
-CDU0000U0059:="U1EF4"
-CRCU1EF4.=" U0000U0059"
+CDTCbdtU0059:="U1EF4"
+CRCU1EF4.=" TCbdtU0059"
CDTbldtU0079:="U1EF5"
CRCU1EF5.=" TbldtU0079"
CDSCompU0021U0079:="U1EF5"
CRCU1EF5.=" SCompU0021U0079"
-CDU0000U0079:="U1EF5"
-CRCU1EF5.=" U0000U0079"
-CDU0000U0059:="U1EF6"
-CRCU1EF6.=" U0000U0059"
+CDTCbdtU0079:="U1EF5"
+CRCU1EF5.=" TCbdtU0079"
+CDThookU0059:="U1EF6"
+CRCU1EF6.=" ThookU0059"
CDSCompU003FU0059:="U1EF6"
CRCU1EF6.=" SCompU003FU0059"
-CDU0000U0059:="U1EF6"
-CRCU1EF6.=" U0000U0059"
-CDU0000U0079:="U1EF7"
-CRCU1EF7.=" U0000U0079"
+CDTChokU0059:="U1EF6"
+CRCU1EF6.=" TChokU0059"
+CDThookU0079:="U1EF7"
+CRCU1EF7.=" ThookU0079"
CDSCompU003FU0079:="U1EF7"
CRCU1EF7.=" SCompU003FU0079"
-CDU0000U0079:="U1EF7"
-CRCU1EF7.=" U0000U0079"
+CDTChokU0079:="U1EF7"
+CRCU1EF7.=" TChokU0079"
CDTtldeU0059:="U1EF8"
CRCU1EF8.=" TtldeU0059"
CDSCompU007EU0059:="U1EF8"
CRCU1EF8.=" SCompU007EU0059"
-CDU0000U0059:="U1EF8"
-CRCU1EF8.=" U0000U0059"
+CDTCtldU0059:="U1EF8"
+CRCU1EF8.=" TCtldU0059"
CDTtldeU0079:="U1EF9"
CRCU1EF9.=" TtldeU0079"
CDSCompU007EU0079:="U1EF9"
CRCU1EF9.=" SCompU007EU0079"
-CDU0000U0079:="U1EF9"
-CRCU1EF9.=" U0000U0079"
+CDTCtldU0079:="U1EF9"
+CRCU1EF9.=" TCtldU0079"
CMU0313:=1
CDU0313U03B1:="U1F00"
CRCU1F00.=" U0313U03B1"
@@ -6306,14 +6362,14 @@ CRCU1F02.=" SCompU0060U0313U03B1"
CMSCompU0060U0029:=1
CDSCompU0060U0029U03B1:="U1F02"
CRCU1F02.=" SCompU0060U0029U03B1"
-CDU0000U1f00:="U1F02"
-CRCU1F02.=" U0000U1f00"
-CMU0000U0313:=1
-CDU0000U0313U03B1:="U1F02"
-CRCU1F02.=" U0000U0313U03B1"
-CMU0000SCompU0029:=1
-CDU0000SCompU0029U03B1:="U1F02"
-CRCU1F02.=" U0000SCompU0029U03B1"
+CDTCgrvU1f00:="U1F02"
+CRCU1F02.=" TCgrvU1f00"
+CMTCgrvU0313:=1
+CDTCgrvU0313U03B1:="U1F02"
+CRCU1F02.=" TCgrvU0313U03B1"
+CMTCgrvSCompU0029:=1
+CDTCgrvSCompU0029U03B1:="U1F02"
+CRCU1F02.=" TCgrvSCompU0029U03B1"
CDTgravU1f01:="U1F03"
CRCU1F03.=" TgravU1f01"
CDSCompU0060U1f01:="U1F03"
@@ -6330,14 +6386,14 @@ CRCU1F03.=" SCompU0060U0314U03B1"
CMSCompU0060U0028:=1
CDSCompU0060U0028U03B1:="U1F03"
CRCU1F03.=" SCompU0060U0028U03B1"
-CDU0000U1f01:="U1F03"
-CRCU1F03.=" U0000U1f01"
-CMU0000U0314:=1
-CDU0000U0314U03B1:="U1F03"
-CRCU1F03.=" U0000U0314U03B1"
-CMU0000SCompU0028:=1
-CDU0000SCompU0028U03B1:="U1F03"
-CRCU1F03.=" U0000SCompU0028U03B1"
+CDTCgrvU1f01:="U1F03"
+CRCU1F03.=" TCgrvU1f01"
+CMTCgrvU0314:=1
+CDTCgrvU0314U03B1:="U1F03"
+CRCU1F03.=" TCgrvU0314U03B1"
+CMTCgrvSCompU0028:=1
+CDTCgrvSCompU0028U03B1:="U1F03"
+CRCU1F03.=" TCgrvSCompU0028U03B1"
CDTacutU1f00:="U1F04"
CRCU1F04.=" TacutU1f00"
CDSCompU00B4U1f00:="U1F04"
@@ -6362,12 +6418,14 @@ CRCU1F04.=" SCompU0027U0313U03B1"
CMSCompU0027U0029:=1
CDSCompU0027U0029U03B1:="U1F04"
CRCU1F04.=" SCompU0027U0029U03B1"
-CDU0000U1f00:="U1F04"
-CRCU1F04.=" U0000U1f00"
-CDU0000U0313U03B1:="U1F04"
-CRCU1F04.=" U0000U0313U03B1"
-CDU0000SCompU0029U03B1:="U1F04"
-CRCU1F04.=" U0000SCompU0029U03B1"
+CDTCactU1f00:="U1F04"
+CRCU1F04.=" TCactU1f00"
+CMTCactU0313:=1
+CDTCactU0313U03B1:="U1F04"
+CRCU1F04.=" TCactU0313U03B1"
+CMTCactSCompU0029:=1
+CDTCactSCompU0029U03B1:="U1F04"
+CRCU1F04.=" TCactSCompU0029U03B1"
CDTacutU1f01:="U1F05"
CRCU1F05.=" TacutU1f01"
CDSCompU00B4U1f01:="U1F05"
@@ -6392,12 +6450,14 @@ CRCU1F05.=" SCompU0027U0314U03B1"
CMSCompU0027U0028:=1
CDSCompU0027U0028U03B1:="U1F05"
CRCU1F05.=" SCompU0027U0028U03B1"
-CDU0000U1f01:="U1F05"
-CRCU1F05.=" U0000U1f01"
-CDU0000U0314U03B1:="U1F05"
-CRCU1F05.=" U0000U0314U03B1"
-CDU0000SCompU0028U03B1:="U1F05"
-CRCU1F05.=" U0000SCompU0028U03B1"
+CDTCactU1f01:="U1F05"
+CRCU1F05.=" TCactU1f01"
+CMTCactU0314:=1
+CDTCactU0314U03B1:="U1F05"
+CRCU1F05.=" TCactU0314U03B1"
+CMTCactSCompU0028:=1
+CDTCactSCompU0028U03B1:="U1F05"
+CRCU1F05.=" TCactSCompU0028U03B1"
CMU0342:=1
CDU0342U1f00:="U1F06"
CRCU1F06.=" U0342U1f00"
@@ -6468,12 +6528,12 @@ CDSCompU0060U0313U0391:="U1F0A"
CRCU1F0A.=" SCompU0060U0313U0391"
CDSCompU0060U0029U0391:="U1F0A"
CRCU1F0A.=" SCompU0060U0029U0391"
-CDU0000U1f08:="U1F0A"
-CRCU1F0A.=" U0000U1f08"
-CDU0000U0313U0391:="U1F0A"
-CRCU1F0A.=" U0000U0313U0391"
-CDU0000SCompU0029U0391:="U1F0A"
-CRCU1F0A.=" U0000SCompU0029U0391"
+CDTCgrvU1f08:="U1F0A"
+CRCU1F0A.=" TCgrvU1f08"
+CDTCgrvU0313U0391:="U1F0A"
+CRCU1F0A.=" TCgrvU0313U0391"
+CDTCgrvSCompU0029U0391:="U1F0A"
+CRCU1F0A.=" TCgrvSCompU0029U0391"
CDTgravU1f09:="U1F0B"
CRCU1F0B.=" TgravU1f09"
CDSCompU0060U1f09:="U1F0B"
@@ -6486,12 +6546,12 @@ CDSCompU0060U0314U0391:="U1F0B"
CRCU1F0B.=" SCompU0060U0314U0391"
CDSCompU0060U0028U0391:="U1F0B"
CRCU1F0B.=" SCompU0060U0028U0391"
-CDU0000U1f09:="U1F0B"
-CRCU1F0B.=" U0000U1f09"
-CDU0000U0314U0391:="U1F0B"
-CRCU1F0B.=" U0000U0314U0391"
-CDU0000SCompU0028U0391:="U1F0B"
-CRCU1F0B.=" U0000SCompU0028U0391"
+CDTCgrvU1f09:="U1F0B"
+CRCU1F0B.=" TCgrvU1f09"
+CDTCgrvU0314U0391:="U1F0B"
+CRCU1F0B.=" TCgrvU0314U0391"
+CDTCgrvSCompU0028U0391:="U1F0B"
+CRCU1F0B.=" TCgrvSCompU0028U0391"
CDTacutU1f08:="U1F0C"
CRCU1F0C.=" TacutU1f08"
CDSCompU00B4U1f08:="U1F0C"
@@ -6510,12 +6570,12 @@ CDSCompU0027U0313U0391:="U1F0C"
CRCU1F0C.=" SCompU0027U0313U0391"
CDSCompU0027U0029U0391:="U1F0C"
CRCU1F0C.=" SCompU0027U0029U0391"
-CDU0000U1f08:="U1F0C"
-CRCU1F0C.=" U0000U1f08"
-CDU0000U0313U0391:="U1F0C"
-CRCU1F0C.=" U0000U0313U0391"
-CDU0000SCompU0029U0391:="U1F0C"
-CRCU1F0C.=" U0000SCompU0029U0391"
+CDTCactU1f08:="U1F0C"
+CRCU1F0C.=" TCactU1f08"
+CDTCactU0313U0391:="U1F0C"
+CRCU1F0C.=" TCactU0313U0391"
+CDTCactSCompU0029U0391:="U1F0C"
+CRCU1F0C.=" TCactSCompU0029U0391"
CDTacutU1f09:="U1F0D"
CRCU1F0D.=" TacutU1f09"
CDSCompU00B4U1f09:="U1F0D"
@@ -6534,12 +6594,12 @@ CDSCompU0027U0314U0391:="U1F0D"
CRCU1F0D.=" SCompU0027U0314U0391"
CDSCompU0027U0028U0391:="U1F0D"
CRCU1F0D.=" SCompU0027U0028U0391"
-CDU0000U1f09:="U1F0D"
-CRCU1F0D.=" U0000U1f09"
-CDU0000U0314U0391:="U1F0D"
-CRCU1F0D.=" U0000U0314U0391"
-CDU0000SCompU0028U0391:="U1F0D"
-CRCU1F0D.=" U0000SCompU0028U0391"
+CDTCactU1f09:="U1F0D"
+CRCU1F0D.=" TCactU1f09"
+CDTCactU0314U0391:="U1F0D"
+CRCU1F0D.=" TCactU0314U0391"
+CDTCactSCompU0028U0391:="U1F0D"
+CRCU1F0D.=" TCactSCompU0028U0391"
CDU0342U1f08:="U1F0E"
CRCU1F0E.=" U0342U1f08"
CDTtldeU1f08:="U1F0E"
@@ -6596,12 +6656,12 @@ CDSCompU0060U0313U03B5:="U1F12"
CRCU1F12.=" SCompU0060U0313U03B5"
CDSCompU0060U0029U03B5:="U1F12"
CRCU1F12.=" SCompU0060U0029U03B5"
-CDU0000U1f10:="U1F12"
-CRCU1F12.=" U0000U1f10"
-CDU0000U0313U03B5:="U1F12"
-CRCU1F12.=" U0000U0313U03B5"
-CDU0000SCompU0029U03B5:="U1F12"
-CRCU1F12.=" U0000SCompU0029U03B5"
+CDTCgrvU1f10:="U1F12"
+CRCU1F12.=" TCgrvU1f10"
+CDTCgrvU0313U03B5:="U1F12"
+CRCU1F12.=" TCgrvU0313U03B5"
+CDTCgrvSCompU0029U03B5:="U1F12"
+CRCU1F12.=" TCgrvSCompU0029U03B5"
CDTgravU1f11:="U1F13"
CRCU1F13.=" TgravU1f11"
CDSCompU0060U1f11:="U1F13"
@@ -6614,12 +6674,12 @@ CDSCompU0060U0314U03B5:="U1F13"
CRCU1F13.=" SCompU0060U0314U03B5"
CDSCompU0060U0028U03B5:="U1F13"
CRCU1F13.=" SCompU0060U0028U03B5"
-CDU0000U1f11:="U1F13"
-CRCU1F13.=" U0000U1f11"
-CDU0000U0314U03B5:="U1F13"
-CRCU1F13.=" U0000U0314U03B5"
-CDU0000SCompU0028U03B5:="U1F13"
-CRCU1F13.=" U0000SCompU0028U03B5"
+CDTCgrvU1f11:="U1F13"
+CRCU1F13.=" TCgrvU1f11"
+CDTCgrvU0314U03B5:="U1F13"
+CRCU1F13.=" TCgrvU0314U03B5"
+CDTCgrvSCompU0028U03B5:="U1F13"
+CRCU1F13.=" TCgrvSCompU0028U03B5"
CDTacutU1f10:="U1F14"
CRCU1F14.=" TacutU1f10"
CDSCompU00B4U1f10:="U1F14"
@@ -6638,12 +6698,12 @@ CDSCompU0027U0313U03B5:="U1F14"
CRCU1F14.=" SCompU0027U0313U03B5"
CDSCompU0027U0029U03B5:="U1F14"
CRCU1F14.=" SCompU0027U0029U03B5"
-CDU0000U1f10:="U1F14"
-CRCU1F14.=" U0000U1f10"
-CDU0000U0313U03B5:="U1F14"
-CRCU1F14.=" U0000U0313U03B5"
-CDU0000SCompU0029U03B5:="U1F14"
-CRCU1F14.=" U0000SCompU0029U03B5"
+CDTCactU1f10:="U1F14"
+CRCU1F14.=" TCactU1f10"
+CDTCactU0313U03B5:="U1F14"
+CRCU1F14.=" TCactU0313U03B5"
+CDTCactSCompU0029U03B5:="U1F14"
+CRCU1F14.=" TCactSCompU0029U03B5"
CDTacutU1f11:="U1F15"
CRCU1F15.=" TacutU1f11"
CDSCompU00B4U1f11:="U1F15"
@@ -6662,12 +6722,12 @@ CDSCompU0027U0314U03B5:="U1F15"
CRCU1F15.=" SCompU0027U0314U03B5"
CDSCompU0027U0028U03B5:="U1F15"
CRCU1F15.=" SCompU0027U0028U03B5"
-CDU0000U1f11:="U1F15"
-CRCU1F15.=" U0000U1f11"
-CDU0000U0314U03B5:="U1F15"
-CRCU1F15.=" U0000U0314U03B5"
-CDU0000SCompU0028U03B5:="U1F15"
-CRCU1F15.=" U0000SCompU0028U03B5"
+CDTCactU1f11:="U1F15"
+CRCU1F15.=" TCactU1f11"
+CDTCactU0314U03B5:="U1F15"
+CRCU1F15.=" TCactU0314U03B5"
+CDTCactSCompU0028U03B5:="U1F15"
+CRCU1F15.=" TCactSCompU0028U03B5"
CDU0313U0395:="U1F18"
CRCU1F18.=" U0313U0395"
CDSCompU0029U0395:="U1F18"
@@ -6688,12 +6748,12 @@ CDSCompU0060U0313U0395:="U1F1A"
CRCU1F1A.=" SCompU0060U0313U0395"
CDSCompU0060U0029U0395:="U1F1A"
CRCU1F1A.=" SCompU0060U0029U0395"
-CDU0000U1f18:="U1F1A"
-CRCU1F1A.=" U0000U1f18"
-CDU0000U0313U0395:="U1F1A"
-CRCU1F1A.=" U0000U0313U0395"
-CDU0000SCompU0029U0395:="U1F1A"
-CRCU1F1A.=" U0000SCompU0029U0395"
+CDTCgrvU1f18:="U1F1A"
+CRCU1F1A.=" TCgrvU1f18"
+CDTCgrvU0313U0395:="U1F1A"
+CRCU1F1A.=" TCgrvU0313U0395"
+CDTCgrvSCompU0029U0395:="U1F1A"
+CRCU1F1A.=" TCgrvSCompU0029U0395"
CDTgravU1f19:="U1F1B"
CRCU1F1B.=" TgravU1f19"
CDSCompU0060U1f19:="U1F1B"
@@ -6706,12 +6766,12 @@ CDSCompU0060U0314U0395:="U1F1B"
CRCU1F1B.=" SCompU0060U0314U0395"
CDSCompU0060U0028U0395:="U1F1B"
CRCU1F1B.=" SCompU0060U0028U0395"
-CDU0000U1f19:="U1F1B"
-CRCU1F1B.=" U0000U1f19"
-CDU0000U0314U0395:="U1F1B"
-CRCU1F1B.=" U0000U0314U0395"
-CDU0000SCompU0028U0395:="U1F1B"
-CRCU1F1B.=" U0000SCompU0028U0395"
+CDTCgrvU1f19:="U1F1B"
+CRCU1F1B.=" TCgrvU1f19"
+CDTCgrvU0314U0395:="U1F1B"
+CRCU1F1B.=" TCgrvU0314U0395"
+CDTCgrvSCompU0028U0395:="U1F1B"
+CRCU1F1B.=" TCgrvSCompU0028U0395"
CDTacutU1f18:="U1F1C"
CRCU1F1C.=" TacutU1f18"
CDSCompU00B4U1f18:="U1F1C"
@@ -6730,12 +6790,12 @@ CDSCompU0027U0313U0395:="U1F1C"
CRCU1F1C.=" SCompU0027U0313U0395"
CDSCompU0027U0029U0395:="U1F1C"
CRCU1F1C.=" SCompU0027U0029U0395"
-CDU0000U1f18:="U1F1C"
-CRCU1F1C.=" U0000U1f18"
-CDU0000U0313U0395:="U1F1C"
-CRCU1F1C.=" U0000U0313U0395"
-CDU0000SCompU0029U0395:="U1F1C"
-CRCU1F1C.=" U0000SCompU0029U0395"
+CDTCactU1f18:="U1F1C"
+CRCU1F1C.=" TCactU1f18"
+CDTCactU0313U0395:="U1F1C"
+CRCU1F1C.=" TCactU0313U0395"
+CDTCactSCompU0029U0395:="U1F1C"
+CRCU1F1C.=" TCactSCompU0029U0395"
CDTacutU1f19:="U1F1D"
CRCU1F1D.=" TacutU1f19"
CDSCompU00B4U1f19:="U1F1D"
@@ -6754,12 +6814,12 @@ CDSCompU0027U0314U0395:="U1F1D"
CRCU1F1D.=" SCompU0027U0314U0395"
CDSCompU0027U0028U0395:="U1F1D"
CRCU1F1D.=" SCompU0027U0028U0395"
-CDU0000U1f19:="U1F1D"
-CRCU1F1D.=" U0000U1f19"
-CDU0000U0314U0395:="U1F1D"
-CRCU1F1D.=" U0000U0314U0395"
-CDU0000SCompU0028U0395:="U1F1D"
-CRCU1F1D.=" U0000SCompU0028U0395"
+CDTCactU1f19:="U1F1D"
+CRCU1F1D.=" TCactU1f19"
+CDTCactU0314U0395:="U1F1D"
+CRCU1F1D.=" TCactU0314U0395"
+CDTCactSCompU0028U0395:="U1F1D"
+CRCU1F1D.=" TCactSCompU0028U0395"
CDU0313U03B7:="U1F20"
CRCU1F20.=" U0313U03B7"
CDSCompU0029U03B7:="U1F20"
@@ -6780,12 +6840,12 @@ CDSCompU0060U0313U03B7:="U1F22"
CRCU1F22.=" SCompU0060U0313U03B7"
CDSCompU0060U0029U03B7:="U1F22"
CRCU1F22.=" SCompU0060U0029U03B7"
-CDU0000U1f20:="U1F22"
-CRCU1F22.=" U0000U1f20"
-CDU0000U0313U03B7:="U1F22"
-CRCU1F22.=" U0000U0313U03B7"
-CDU0000SCompU0029U03B7:="U1F22"
-CRCU1F22.=" U0000SCompU0029U03B7"
+CDTCgrvU1f20:="U1F22"
+CRCU1F22.=" TCgrvU1f20"
+CDTCgrvU0313U03B7:="U1F22"
+CRCU1F22.=" TCgrvU0313U03B7"
+CDTCgrvSCompU0029U03B7:="U1F22"
+CRCU1F22.=" TCgrvSCompU0029U03B7"
CDTgravU1f21:="U1F23"
CRCU1F23.=" TgravU1f21"
CDSCompU0060U1f21:="U1F23"
@@ -6798,12 +6858,12 @@ CDSCompU0060U0314U03B7:="U1F23"
CRCU1F23.=" SCompU0060U0314U03B7"
CDSCompU0060U0028U03B7:="U1F23"
CRCU1F23.=" SCompU0060U0028U03B7"
-CDU0000U1f21:="U1F23"
-CRCU1F23.=" U0000U1f21"
-CDU0000U0314U03B7:="U1F23"
-CRCU1F23.=" U0000U0314U03B7"
-CDU0000SCompU0028U03B7:="U1F23"
-CRCU1F23.=" U0000SCompU0028U03B7"
+CDTCgrvU1f21:="U1F23"
+CRCU1F23.=" TCgrvU1f21"
+CDTCgrvU0314U03B7:="U1F23"
+CRCU1F23.=" TCgrvU0314U03B7"
+CDTCgrvSCompU0028U03B7:="U1F23"
+CRCU1F23.=" TCgrvSCompU0028U03B7"
CDTacutU1f20:="U1F24"
CRCU1F24.=" TacutU1f20"
CDSCompU00B4U1f20:="U1F24"
@@ -6822,12 +6882,12 @@ CDSCompU0027U0313U03B7:="U1F24"
CRCU1F24.=" SCompU0027U0313U03B7"
CDSCompU0027U0029U03B7:="U1F24"
CRCU1F24.=" SCompU0027U0029U03B7"
-CDU0000U1f20:="U1F24"
-CRCU1F24.=" U0000U1f20"
-CDU0000U0313U03B7:="U1F24"
-CRCU1F24.=" U0000U0313U03B7"
-CDU0000SCompU0029U03B7:="U1F24"
-CRCU1F24.=" U0000SCompU0029U03B7"
+CDTCactU1f20:="U1F24"
+CRCU1F24.=" TCactU1f20"
+CDTCactU0313U03B7:="U1F24"
+CRCU1F24.=" TCactU0313U03B7"
+CDTCactSCompU0029U03B7:="U1F24"
+CRCU1F24.=" TCactSCompU0029U03B7"
CDTacutU1f21:="U1F25"
CRCU1F25.=" TacutU1f21"
CDSCompU00B4U1f21:="U1F25"
@@ -6846,12 +6906,12 @@ CDSCompU0027U0314U03B7:="U1F25"
CRCU1F25.=" SCompU0027U0314U03B7"
CDSCompU0027U0028U03B7:="U1F25"
CRCU1F25.=" SCompU0027U0028U03B7"
-CDU0000U1f21:="U1F25"
-CRCU1F25.=" U0000U1f21"
-CDU0000U0314U03B7:="U1F25"
-CRCU1F25.=" U0000U0314U03B7"
-CDU0000SCompU0028U03B7:="U1F25"
-CRCU1F25.=" U0000SCompU0028U03B7"
+CDTCactU1f21:="U1F25"
+CRCU1F25.=" TCactU1f21"
+CDTCactU0314U03B7:="U1F25"
+CRCU1F25.=" TCactU0314U03B7"
+CDTCactSCompU0028U03B7:="U1F25"
+CRCU1F25.=" TCactSCompU0028U03B7"
CDU0342U1f20:="U1F26"
CRCU1F26.=" U0342U1f20"
CDTtldeU1f20:="U1F26"
@@ -6908,12 +6968,12 @@ CDSCompU0060U0313U0397:="U1F2A"
CRCU1F2A.=" SCompU0060U0313U0397"
CDSCompU0060U0029U0397:="U1F2A"
CRCU1F2A.=" SCompU0060U0029U0397"
-CDU0000U1f28:="U1F2A"
-CRCU1F2A.=" U0000U1f28"
-CDU0000U0313U0397:="U1F2A"
-CRCU1F2A.=" U0000U0313U0397"
-CDU0000SCompU0029U0397:="U1F2A"
-CRCU1F2A.=" U0000SCompU0029U0397"
+CDTCgrvU1f28:="U1F2A"
+CRCU1F2A.=" TCgrvU1f28"
+CDTCgrvU0313U0397:="U1F2A"
+CRCU1F2A.=" TCgrvU0313U0397"
+CDTCgrvSCompU0029U0397:="U1F2A"
+CRCU1F2A.=" TCgrvSCompU0029U0397"
CDTgravU1f29:="U1F2B"
CRCU1F2B.=" TgravU1f29"
CDSCompU0060U1f29:="U1F2B"
@@ -6926,12 +6986,12 @@ CDSCompU0060U0314U0397:="U1F2B"
CRCU1F2B.=" SCompU0060U0314U0397"
CDSCompU0060U0028U0397:="U1F2B"
CRCU1F2B.=" SCompU0060U0028U0397"
-CDU0000U1f29:="U1F2B"
-CRCU1F2B.=" U0000U1f29"
-CDU0000U0314U0397:="U1F2B"
-CRCU1F2B.=" U0000U0314U0397"
-CDU0000SCompU0028U0397:="U1F2B"
-CRCU1F2B.=" U0000SCompU0028U0397"
+CDTCgrvU1f29:="U1F2B"
+CRCU1F2B.=" TCgrvU1f29"
+CDTCgrvU0314U0397:="U1F2B"
+CRCU1F2B.=" TCgrvU0314U0397"
+CDTCgrvSCompU0028U0397:="U1F2B"
+CRCU1F2B.=" TCgrvSCompU0028U0397"
CDTacutU1f28:="U1F2C"
CRCU1F2C.=" TacutU1f28"
CDSCompU00B4U1f28:="U1F2C"
@@ -6950,12 +7010,12 @@ CDSCompU0027U0313U0397:="U1F2C"
CRCU1F2C.=" SCompU0027U0313U0397"
CDSCompU0027U0029U0397:="U1F2C"
CRCU1F2C.=" SCompU0027U0029U0397"
-CDU0000U1f28:="U1F2C"
-CRCU1F2C.=" U0000U1f28"
-CDU0000U0313U0397:="U1F2C"
-CRCU1F2C.=" U0000U0313U0397"
-CDU0000SCompU0029U0397:="U1F2C"
-CRCU1F2C.=" U0000SCompU0029U0397"
+CDTCactU1f28:="U1F2C"
+CRCU1F2C.=" TCactU1f28"
+CDTCactU0313U0397:="U1F2C"
+CRCU1F2C.=" TCactU0313U0397"
+CDTCactSCompU0029U0397:="U1F2C"
+CRCU1F2C.=" TCactSCompU0029U0397"
CDTacutU1f29:="U1F2D"
CRCU1F2D.=" TacutU1f29"
CDSCompU00B4U1f29:="U1F2D"
@@ -6974,12 +7034,12 @@ CDSCompU0027U0314U0397:="U1F2D"
CRCU1F2D.=" SCompU0027U0314U0397"
CDSCompU0027U0028U0397:="U1F2D"
CRCU1F2D.=" SCompU0027U0028U0397"
-CDU0000U1f29:="U1F2D"
-CRCU1F2D.=" U0000U1f29"
-CDU0000U0314U0397:="U1F2D"
-CRCU1F2D.=" U0000U0314U0397"
-CDU0000SCompU0028U0397:="U1F2D"
-CRCU1F2D.=" U0000SCompU0028U0397"
+CDTCactU1f29:="U1F2D"
+CRCU1F2D.=" TCactU1f29"
+CDTCactU0314U0397:="U1F2D"
+CRCU1F2D.=" TCactU0314U0397"
+CDTCactSCompU0028U0397:="U1F2D"
+CRCU1F2D.=" TCactSCompU0028U0397"
CDU0342U1f28:="U1F2E"
CRCU1F2E.=" U0342U1f28"
CDTtldeU1f28:="U1F2E"
@@ -7036,12 +7096,12 @@ CDSCompU0060U0313U03B9:="U1F32"
CRCU1F32.=" SCompU0060U0313U03B9"
CDSCompU0060U0029U03B9:="U1F32"
CRCU1F32.=" SCompU0060U0029U03B9"
-CDU0000U1f30:="U1F32"
-CRCU1F32.=" U0000U1f30"
-CDU0000U0313U03B9:="U1F32"
-CRCU1F32.=" U0000U0313U03B9"
-CDU0000SCompU0029U03B9:="U1F32"
-CRCU1F32.=" U0000SCompU0029U03B9"
+CDTCgrvU1f30:="U1F32"
+CRCU1F32.=" TCgrvU1f30"
+CDTCgrvU0313U03B9:="U1F32"
+CRCU1F32.=" TCgrvU0313U03B9"
+CDTCgrvSCompU0029U03B9:="U1F32"
+CRCU1F32.=" TCgrvSCompU0029U03B9"
CDTgravU1f31:="U1F33"
CRCU1F33.=" TgravU1f31"
CDSCompU0060U1f31:="U1F33"
@@ -7054,12 +7114,12 @@ CDSCompU0060U0314U03B9:="U1F33"
CRCU1F33.=" SCompU0060U0314U03B9"
CDSCompU0060U0028U03B9:="U1F33"
CRCU1F33.=" SCompU0060U0028U03B9"
-CDU0000U1f31:="U1F33"
-CRCU1F33.=" U0000U1f31"
-CDU0000U0314U03B9:="U1F33"
-CRCU1F33.=" U0000U0314U03B9"
-CDU0000SCompU0028U03B9:="U1F33"
-CRCU1F33.=" U0000SCompU0028U03B9"
+CDTCgrvU1f31:="U1F33"
+CRCU1F33.=" TCgrvU1f31"
+CDTCgrvU0314U03B9:="U1F33"
+CRCU1F33.=" TCgrvU0314U03B9"
+CDTCgrvSCompU0028U03B9:="U1F33"
+CRCU1F33.=" TCgrvSCompU0028U03B9"
CDTacutU1f30:="U1F34"
CRCU1F34.=" TacutU1f30"
CDSCompU00B4U1f30:="U1F34"
@@ -7078,12 +7138,12 @@ CDSCompU0027U0313U03B9:="U1F34"
CRCU1F34.=" SCompU0027U0313U03B9"
CDSCompU0027U0029U03B9:="U1F34"
CRCU1F34.=" SCompU0027U0029U03B9"
-CDU0000U1f30:="U1F34"
-CRCU1F34.=" U0000U1f30"
-CDU0000U0313U03B9:="U1F34"
-CRCU1F34.=" U0000U0313U03B9"
-CDU0000SCompU0029U03B9:="U1F34"
-CRCU1F34.=" U0000SCompU0029U03B9"
+CDTCactU1f30:="U1F34"
+CRCU1F34.=" TCactU1f30"
+CDTCactU0313U03B9:="U1F34"
+CRCU1F34.=" TCactU0313U03B9"
+CDTCactSCompU0029U03B9:="U1F34"
+CRCU1F34.=" TCactSCompU0029U03B9"
CDTacutU1f31:="U1F35"
CRCU1F35.=" TacutU1f31"
CDSCompU00B4U1f31:="U1F35"
@@ -7102,12 +7162,12 @@ CDSCompU0027U0314U03B9:="U1F35"
CRCU1F35.=" SCompU0027U0314U03B9"
CDSCompU0027U0028U03B9:="U1F35"
CRCU1F35.=" SCompU0027U0028U03B9"
-CDU0000U1f31:="U1F35"
-CRCU1F35.=" U0000U1f31"
-CDU0000U0314U03B9:="U1F35"
-CRCU1F35.=" U0000U0314U03B9"
-CDU0000SCompU0028U03B9:="U1F35"
-CRCU1F35.=" U0000SCompU0028U03B9"
+CDTCactU1f31:="U1F35"
+CRCU1F35.=" TCactU1f31"
+CDTCactU0314U03B9:="U1F35"
+CRCU1F35.=" TCactU0314U03B9"
+CDTCactSCompU0028U03B9:="U1F35"
+CRCU1F35.=" TCactSCompU0028U03B9"
CDU0342U1f30:="U1F36"
CRCU1F36.=" U0342U1f30"
CDTtldeU1f30:="U1F36"
@@ -7164,12 +7224,12 @@ CDSCompU0060U0313U0399:="U1F3A"
CRCU1F3A.=" SCompU0060U0313U0399"
CDSCompU0060U0029U0399:="U1F3A"
CRCU1F3A.=" SCompU0060U0029U0399"
-CDU0000U1f38:="U1F3A"
-CRCU1F3A.=" U0000U1f38"
-CDU0000U0313U0399:="U1F3A"
-CRCU1F3A.=" U0000U0313U0399"
-CDU0000SCompU0029U0399:="U1F3A"
-CRCU1F3A.=" U0000SCompU0029U0399"
+CDTCgrvU1f38:="U1F3A"
+CRCU1F3A.=" TCgrvU1f38"
+CDTCgrvU0313U0399:="U1F3A"
+CRCU1F3A.=" TCgrvU0313U0399"
+CDTCgrvSCompU0029U0399:="U1F3A"
+CRCU1F3A.=" TCgrvSCompU0029U0399"
CDTgravU1f39:="U1F3B"
CRCU1F3B.=" TgravU1f39"
CDSCompU0060U1f39:="U1F3B"
@@ -7182,12 +7242,12 @@ CDSCompU0060U0314U0399:="U1F3B"
CRCU1F3B.=" SCompU0060U0314U0399"
CDSCompU0060U0028U0399:="U1F3B"
CRCU1F3B.=" SCompU0060U0028U0399"
-CDU0000U1f39:="U1F3B"
-CRCU1F3B.=" U0000U1f39"
-CDU0000U0314U0399:="U1F3B"
-CRCU1F3B.=" U0000U0314U0399"
-CDU0000SCompU0028U0399:="U1F3B"
-CRCU1F3B.=" U0000SCompU0028U0399"
+CDTCgrvU1f39:="U1F3B"
+CRCU1F3B.=" TCgrvU1f39"
+CDTCgrvU0314U0399:="U1F3B"
+CRCU1F3B.=" TCgrvU0314U0399"
+CDTCgrvSCompU0028U0399:="U1F3B"
+CRCU1F3B.=" TCgrvSCompU0028U0399"
CDTacutU1f38:="U1F3C"
CRCU1F3C.=" TacutU1f38"
CDSCompU00B4U1f38:="U1F3C"
@@ -7206,12 +7266,12 @@ CDSCompU0027U0313U0399:="U1F3C"
CRCU1F3C.=" SCompU0027U0313U0399"
CDSCompU0027U0029U0399:="U1F3C"
CRCU1F3C.=" SCompU0027U0029U0399"
-CDU0000U1f38:="U1F3C"
-CRCU1F3C.=" U0000U1f38"
-CDU0000U0313U0399:="U1F3C"
-CRCU1F3C.=" U0000U0313U0399"
-CDU0000SCompU0029U0399:="U1F3C"
-CRCU1F3C.=" U0000SCompU0029U0399"
+CDTCactU1f38:="U1F3C"
+CRCU1F3C.=" TCactU1f38"
+CDTCactU0313U0399:="U1F3C"
+CRCU1F3C.=" TCactU0313U0399"
+CDTCactSCompU0029U0399:="U1F3C"
+CRCU1F3C.=" TCactSCompU0029U0399"
CDTacutU1f39:="U1F3D"
CRCU1F3D.=" TacutU1f39"
CDSCompU00B4U1f39:="U1F3D"
@@ -7230,12 +7290,12 @@ CDSCompU0027U0314U0399:="U1F3D"
CRCU1F3D.=" SCompU0027U0314U0399"
CDSCompU0027U0028U0399:="U1F3D"
CRCU1F3D.=" SCompU0027U0028U0399"
-CDU0000U1f39:="U1F3D"
-CRCU1F3D.=" U0000U1f39"
-CDU0000U0314U0399:="U1F3D"
-CRCU1F3D.=" U0000U0314U0399"
-CDU0000SCompU0028U0399:="U1F3D"
-CRCU1F3D.=" U0000SCompU0028U0399"
+CDTCactU1f39:="U1F3D"
+CRCU1F3D.=" TCactU1f39"
+CDTCactU0314U0399:="U1F3D"
+CRCU1F3D.=" TCactU0314U0399"
+CDTCactSCompU0028U0399:="U1F3D"
+CRCU1F3D.=" TCactSCompU0028U0399"
CDU0342U1f38:="U1F3E"
CRCU1F3E.=" U0342U1f38"
CDTtldeU1f38:="U1F3E"
@@ -7292,12 +7352,12 @@ CDSCompU0060U0313U03BF:="U1F42"
CRCU1F42.=" SCompU0060U0313U03BF"
CDSCompU0060U0029U03BF:="U1F42"
CRCU1F42.=" SCompU0060U0029U03BF"
-CDU0000U1f40:="U1F42"
-CRCU1F42.=" U0000U1f40"
-CDU0000U0313U03BF:="U1F42"
-CRCU1F42.=" U0000U0313U03BF"
-CDU0000SCompU0029U03BF:="U1F42"
-CRCU1F42.=" U0000SCompU0029U03BF"
+CDTCgrvU1f40:="U1F42"
+CRCU1F42.=" TCgrvU1f40"
+CDTCgrvU0313U03BF:="U1F42"
+CRCU1F42.=" TCgrvU0313U03BF"
+CDTCgrvSCompU0029U03BF:="U1F42"
+CRCU1F42.=" TCgrvSCompU0029U03BF"
CDTgravU1f41:="U1F43"
CRCU1F43.=" TgravU1f41"
CDSCompU0060U1f41:="U1F43"
@@ -7310,12 +7370,12 @@ CDSCompU0060U0314U03BF:="U1F43"
CRCU1F43.=" SCompU0060U0314U03BF"
CDSCompU0060U0028U03BF:="U1F43"
CRCU1F43.=" SCompU0060U0028U03BF"
-CDU0000U1f41:="U1F43"
-CRCU1F43.=" U0000U1f41"
-CDU0000U0314U03BF:="U1F43"
-CRCU1F43.=" U0000U0314U03BF"
-CDU0000SCompU0028U03BF:="U1F43"
-CRCU1F43.=" U0000SCompU0028U03BF"
+CDTCgrvU1f41:="U1F43"
+CRCU1F43.=" TCgrvU1f41"
+CDTCgrvU0314U03BF:="U1F43"
+CRCU1F43.=" TCgrvU0314U03BF"
+CDTCgrvSCompU0028U03BF:="U1F43"
+CRCU1F43.=" TCgrvSCompU0028U03BF"
CDTacutU1f40:="U1F44"
CRCU1F44.=" TacutU1f40"
CDSCompU00B4U1f40:="U1F44"
@@ -7334,12 +7394,12 @@ CDSCompU0027U0313U03BF:="U1F44"
CRCU1F44.=" SCompU0027U0313U03BF"
CDSCompU0027U0029U03BF:="U1F44"
CRCU1F44.=" SCompU0027U0029U03BF"
-CDU0000U1f40:="U1F44"
-CRCU1F44.=" U0000U1f40"
-CDU0000U0313U03BF:="U1F44"
-CRCU1F44.=" U0000U0313U03BF"
-CDU0000SCompU0029U03BF:="U1F44"
-CRCU1F44.=" U0000SCompU0029U03BF"
+CDTCactU1f40:="U1F44"
+CRCU1F44.=" TCactU1f40"
+CDTCactU0313U03BF:="U1F44"
+CRCU1F44.=" TCactU0313U03BF"
+CDTCactSCompU0029U03BF:="U1F44"
+CRCU1F44.=" TCactSCompU0029U03BF"
CDTacutU1f41:="U1F45"
CRCU1F45.=" TacutU1f41"
CDSCompU00B4U1f41:="U1F45"
@@ -7358,12 +7418,12 @@ CDSCompU0027U0314U03BF:="U1F45"
CRCU1F45.=" SCompU0027U0314U03BF"
CDSCompU0027U0028U03BF:="U1F45"
CRCU1F45.=" SCompU0027U0028U03BF"
-CDU0000U1f41:="U1F45"
-CRCU1F45.=" U0000U1f41"
-CDU0000U0314U03BF:="U1F45"
-CRCU1F45.=" U0000U0314U03BF"
-CDU0000SCompU0028U03BF:="U1F45"
-CRCU1F45.=" U0000SCompU0028U03BF"
+CDTCactU1f41:="U1F45"
+CRCU1F45.=" TCactU1f41"
+CDTCactU0314U03BF:="U1F45"
+CRCU1F45.=" TCactU0314U03BF"
+CDTCactSCompU0028U03BF:="U1F45"
+CRCU1F45.=" TCactSCompU0028U03BF"
CDU0313U039F:="U1F48"
CRCU1F48.=" U0313U039F"
CDSCompU0029U039F:="U1F48"
@@ -7384,12 +7444,12 @@ CDSCompU0060U0313U039F:="U1F4A"
CRCU1F4A.=" SCompU0060U0313U039F"
CDSCompU0060U0029U039F:="U1F4A"
CRCU1F4A.=" SCompU0060U0029U039F"
-CDU0000U1f48:="U1F4A"
-CRCU1F4A.=" U0000U1f48"
-CDU0000U0313U039F:="U1F4A"
-CRCU1F4A.=" U0000U0313U039F"
-CDU0000SCompU0029U039F:="U1F4A"
-CRCU1F4A.=" U0000SCompU0029U039F"
+CDTCgrvU1f48:="U1F4A"
+CRCU1F4A.=" TCgrvU1f48"
+CDTCgrvU0313U039F:="U1F4A"
+CRCU1F4A.=" TCgrvU0313U039F"
+CDTCgrvSCompU0029U039F:="U1F4A"
+CRCU1F4A.=" TCgrvSCompU0029U039F"
CDTgravU1f49:="U1F4B"
CRCU1F4B.=" TgravU1f49"
CDSCompU0060U1f49:="U1F4B"
@@ -7402,12 +7462,12 @@ CDSCompU0060U0314U039F:="U1F4B"
CRCU1F4B.=" SCompU0060U0314U039F"
CDSCompU0060U0028U039F:="U1F4B"
CRCU1F4B.=" SCompU0060U0028U039F"
-CDU0000U1f49:="U1F4B"
-CRCU1F4B.=" U0000U1f49"
-CDU0000U0314U039F:="U1F4B"
-CRCU1F4B.=" U0000U0314U039F"
-CDU0000SCompU0028U039F:="U1F4B"
-CRCU1F4B.=" U0000SCompU0028U039F"
+CDTCgrvU1f49:="U1F4B"
+CRCU1F4B.=" TCgrvU1f49"
+CDTCgrvU0314U039F:="U1F4B"
+CRCU1F4B.=" TCgrvU0314U039F"
+CDTCgrvSCompU0028U039F:="U1F4B"
+CRCU1F4B.=" TCgrvSCompU0028U039F"
CDTacutU1f48:="U1F4C"
CRCU1F4C.=" TacutU1f48"
CDSCompU00B4U1f48:="U1F4C"
@@ -7426,12 +7486,12 @@ CDSCompU0027U0313U039F:="U1F4C"
CRCU1F4C.=" SCompU0027U0313U039F"
CDSCompU0027U0029U039F:="U1F4C"
CRCU1F4C.=" SCompU0027U0029U039F"
-CDU0000U1f48:="U1F4C"
-CRCU1F4C.=" U0000U1f48"
-CDU0000U0313U039F:="U1F4C"
-CRCU1F4C.=" U0000U0313U039F"
-CDU0000SCompU0029U039F:="U1F4C"
-CRCU1F4C.=" U0000SCompU0029U039F"
+CDTCactU1f48:="U1F4C"
+CRCU1F4C.=" TCactU1f48"
+CDTCactU0313U039F:="U1F4C"
+CRCU1F4C.=" TCactU0313U039F"
+CDTCactSCompU0029U039F:="U1F4C"
+CRCU1F4C.=" TCactSCompU0029U039F"
CDTacutU1f49:="U1F4D"
CRCU1F4D.=" TacutU1f49"
CDSCompU00B4U1f49:="U1F4D"
@@ -7450,12 +7510,12 @@ CDSCompU0027U0314U039F:="U1F4D"
CRCU1F4D.=" SCompU0027U0314U039F"
CDSCompU0027U0028U039F:="U1F4D"
CRCU1F4D.=" SCompU0027U0028U039F"
-CDU0000U1f49:="U1F4D"
-CRCU1F4D.=" U0000U1f49"
-CDU0000U0314U039F:="U1F4D"
-CRCU1F4D.=" U0000U0314U039F"
-CDU0000SCompU0028U039F:="U1F4D"
-CRCU1F4D.=" U0000SCompU0028U039F"
+CDTCactU1f49:="U1F4D"
+CRCU1F4D.=" TCactU1f49"
+CDTCactU0314U039F:="U1F4D"
+CRCU1F4D.=" TCactU0314U039F"
+CDTCactSCompU0028U039F:="U1F4D"
+CRCU1F4D.=" TCactSCompU0028U039F"
CDU0313U03C5:="U1F50"
CRCU1F50.=" U0313U03C5"
CDSCompU0029U03C5:="U1F50"
@@ -7476,12 +7536,12 @@ CDSCompU0060U0313U03C5:="U1F52"
CRCU1F52.=" SCompU0060U0313U03C5"
CDSCompU0060U0029U03C5:="U1F52"
CRCU1F52.=" SCompU0060U0029U03C5"
-CDU0000U1f50:="U1F52"
-CRCU1F52.=" U0000U1f50"
-CDU0000U0313U03C5:="U1F52"
-CRCU1F52.=" U0000U0313U03C5"
-CDU0000SCompU0029U03C5:="U1F52"
-CRCU1F52.=" U0000SCompU0029U03C5"
+CDTCgrvU1f50:="U1F52"
+CRCU1F52.=" TCgrvU1f50"
+CDTCgrvU0313U03C5:="U1F52"
+CRCU1F52.=" TCgrvU0313U03C5"
+CDTCgrvSCompU0029U03C5:="U1F52"
+CRCU1F52.=" TCgrvSCompU0029U03C5"
CDTgravU1f51:="U1F53"
CRCU1F53.=" TgravU1f51"
CDSCompU0060U1f51:="U1F53"
@@ -7494,12 +7554,12 @@ CDSCompU0060U0314U03C5:="U1F53"
CRCU1F53.=" SCompU0060U0314U03C5"
CDSCompU0060U0028U03C5:="U1F53"
CRCU1F53.=" SCompU0060U0028U03C5"
-CDU0000U1f51:="U1F53"
-CRCU1F53.=" U0000U1f51"
-CDU0000U0314U03C5:="U1F53"
-CRCU1F53.=" U0000U0314U03C5"
-CDU0000SCompU0028U03C5:="U1F53"
-CRCU1F53.=" U0000SCompU0028U03C5"
+CDTCgrvU1f51:="U1F53"
+CRCU1F53.=" TCgrvU1f51"
+CDTCgrvU0314U03C5:="U1F53"
+CRCU1F53.=" TCgrvU0314U03C5"
+CDTCgrvSCompU0028U03C5:="U1F53"
+CRCU1F53.=" TCgrvSCompU0028U03C5"
CDTacutU1f50:="U1F54"
CRCU1F54.=" TacutU1f50"
CDSCompU00B4U1f50:="U1F54"
@@ -7518,12 +7578,12 @@ CDSCompU0027U0313U03C5:="U1F54"
CRCU1F54.=" SCompU0027U0313U03C5"
CDSCompU0027U0029U03C5:="U1F54"
CRCU1F54.=" SCompU0027U0029U03C5"
-CDU0000U1f50:="U1F54"
-CRCU1F54.=" U0000U1f50"
-CDU0000U0313U03C5:="U1F54"
-CRCU1F54.=" U0000U0313U03C5"
-CDU0000SCompU0029U03C5:="U1F54"
-CRCU1F54.=" U0000SCompU0029U03C5"
+CDTCactU1f50:="U1F54"
+CRCU1F54.=" TCactU1f50"
+CDTCactU0313U03C5:="U1F54"
+CRCU1F54.=" TCactU0313U03C5"
+CDTCactSCompU0029U03C5:="U1F54"
+CRCU1F54.=" TCactSCompU0029U03C5"
CDTacutU1f51:="U1F55"
CRCU1F55.=" TacutU1f51"
CDSCompU00B4U1f51:="U1F55"
@@ -7542,12 +7602,12 @@ CDSCompU0027U0314U03C5:="U1F55"
CRCU1F55.=" SCompU0027U0314U03C5"
CDSCompU0027U0028U03C5:="U1F55"
CRCU1F55.=" SCompU0027U0028U03C5"
-CDU0000U1f51:="U1F55"
-CRCU1F55.=" U0000U1f51"
-CDU0000U0314U03C5:="U1F55"
-CRCU1F55.=" U0000U0314U03C5"
-CDU0000SCompU0028U03C5:="U1F55"
-CRCU1F55.=" U0000SCompU0028U03C5"
+CDTCactU1f51:="U1F55"
+CRCU1F55.=" TCactU1f51"
+CDTCactU0314U03C5:="U1F55"
+CRCU1F55.=" TCactU0314U03C5"
+CDTCactSCompU0028U03C5:="U1F55"
+CRCU1F55.=" TCactSCompU0028U03C5"
CDU0342U1f50:="U1F56"
CRCU1F56.=" U0342U1f50"
CDTtldeU1f50:="U1F56"
@@ -7600,12 +7660,12 @@ CDSCompU0060U0314U03A5:="U1F5B"
CRCU1F5B.=" SCompU0060U0314U03A5"
CDSCompU0060U0028U03A5:="U1F5B"
CRCU1F5B.=" SCompU0060U0028U03A5"
-CDU0000U1f59:="U1F5B"
-CRCU1F5B.=" U0000U1f59"
-CDU0000U0314U03A5:="U1F5B"
-CRCU1F5B.=" U0000U0314U03A5"
-CDU0000SCompU0028U03A5:="U1F5B"
-CRCU1F5B.=" U0000SCompU0028U03A5"
+CDTCgrvU1f59:="U1F5B"
+CRCU1F5B.=" TCgrvU1f59"
+CDTCgrvU0314U03A5:="U1F5B"
+CRCU1F5B.=" TCgrvU0314U03A5"
+CDTCgrvSCompU0028U03A5:="U1F5B"
+CRCU1F5B.=" TCgrvSCompU0028U03A5"
CDTacutU1f59:="U1F5D"
CRCU1F5D.=" TacutU1f59"
CDSCompU00B4U1f59:="U1F5D"
@@ -7624,12 +7684,12 @@ CDSCompU0027U0314U03A5:="U1F5D"
CRCU1F5D.=" SCompU0027U0314U03A5"
CDSCompU0027U0028U03A5:="U1F5D"
CRCU1F5D.=" SCompU0027U0028U03A5"
-CDU0000U1f59:="U1F5D"
-CRCU1F5D.=" U0000U1f59"
-CDU0000U0314U03A5:="U1F5D"
-CRCU1F5D.=" U0000U0314U03A5"
-CDU0000SCompU0028U03A5:="U1F5D"
-CRCU1F5D.=" U0000SCompU0028U03A5"
+CDTCactU1f59:="U1F5D"
+CRCU1F5D.=" TCactU1f59"
+CDTCactU0314U03A5:="U1F5D"
+CRCU1F5D.=" TCactU0314U03A5"
+CDTCactSCompU0028U03A5:="U1F5D"
+CRCU1F5D.=" TCactSCompU0028U03A5"
CDU0342U1f59:="U1F5F"
CRCU1F5F.=" U0342U1f59"
CDTtldeU1f59:="U1F5F"
@@ -7668,12 +7728,12 @@ CDSCompU0060U0313U03C9:="U1F62"
CRCU1F62.=" SCompU0060U0313U03C9"
CDSCompU0060U0029U03C9:="U1F62"
CRCU1F62.=" SCompU0060U0029U03C9"
-CDU0000U1f60:="U1F62"
-CRCU1F62.=" U0000U1f60"
-CDU0000U0313U03C9:="U1F62"
-CRCU1F62.=" U0000U0313U03C9"
-CDU0000SCompU0029U03C9:="U1F62"
-CRCU1F62.=" U0000SCompU0029U03C9"
+CDTCgrvU1f60:="U1F62"
+CRCU1F62.=" TCgrvU1f60"
+CDTCgrvU0313U03C9:="U1F62"
+CRCU1F62.=" TCgrvU0313U03C9"
+CDTCgrvSCompU0029U03C9:="U1F62"
+CRCU1F62.=" TCgrvSCompU0029U03C9"
CDTgravU1f61:="U1F63"
CRCU1F63.=" TgravU1f61"
CDSCompU0060U1f61:="U1F63"
@@ -7686,12 +7746,12 @@ CDSCompU0060U0314U03C9:="U1F63"
CRCU1F63.=" SCompU0060U0314U03C9"
CDSCompU0060U0028U03C9:="U1F63"
CRCU1F63.=" SCompU0060U0028U03C9"
-CDU0000U1f61:="U1F63"
-CRCU1F63.=" U0000U1f61"
-CDU0000U0314U03C9:="U1F63"
-CRCU1F63.=" U0000U0314U03C9"
-CDU0000SCompU0028U03C9:="U1F63"
-CRCU1F63.=" U0000SCompU0028U03C9"
+CDTCgrvU1f61:="U1F63"
+CRCU1F63.=" TCgrvU1f61"
+CDTCgrvU0314U03C9:="U1F63"
+CRCU1F63.=" TCgrvU0314U03C9"
+CDTCgrvSCompU0028U03C9:="U1F63"
+CRCU1F63.=" TCgrvSCompU0028U03C9"
CDTacutU1f60:="U1F64"
CRCU1F64.=" TacutU1f60"
CDSCompU00B4U1f60:="U1F64"
@@ -7710,12 +7770,12 @@ CDSCompU0027U0313U03C9:="U1F64"
CRCU1F64.=" SCompU0027U0313U03C9"
CDSCompU0027U0029U03C9:="U1F64"
CRCU1F64.=" SCompU0027U0029U03C9"
-CDU0000U1f60:="U1F64"
-CRCU1F64.=" U0000U1f60"
-CDU0000U0313U03C9:="U1F64"
-CRCU1F64.=" U0000U0313U03C9"
-CDU0000SCompU0029U03C9:="U1F64"
-CRCU1F64.=" U0000SCompU0029U03C9"
+CDTCactU1f60:="U1F64"
+CRCU1F64.=" TCactU1f60"
+CDTCactU0313U03C9:="U1F64"
+CRCU1F64.=" TCactU0313U03C9"
+CDTCactSCompU0029U03C9:="U1F64"
+CRCU1F64.=" TCactSCompU0029U03C9"
CDTacutU1f61:="U1F65"
CRCU1F65.=" TacutU1f61"
CDSCompU00B4U1f61:="U1F65"
@@ -7734,12 +7794,12 @@ CDSCompU0027U0314U03C9:="U1F65"
CRCU1F65.=" SCompU0027U0314U03C9"
CDSCompU0027U0028U03C9:="U1F65"
CRCU1F65.=" SCompU0027U0028U03C9"
-CDU0000U1f61:="U1F65"
-CRCU1F65.=" U0000U1f61"
-CDU0000U0314U03C9:="U1F65"
-CRCU1F65.=" U0000U0314U03C9"
-CDU0000SCompU0028U03C9:="U1F65"
-CRCU1F65.=" U0000SCompU0028U03C9"
+CDTCactU1f61:="U1F65"
+CRCU1F65.=" TCactU1f61"
+CDTCactU0314U03C9:="U1F65"
+CRCU1F65.=" TCactU0314U03C9"
+CDTCactSCompU0028U03C9:="U1F65"
+CRCU1F65.=" TCactSCompU0028U03C9"
CDU0342U1f60:="U1F66"
CRCU1F66.=" U0342U1f60"
CDTtldeU1f60:="U1F66"
@@ -7796,12 +7856,12 @@ CDSCompU0060U0313U03A9:="U1F6A"
CRCU1F6A.=" SCompU0060U0313U03A9"
CDSCompU0060U0029U03A9:="U1F6A"
CRCU1F6A.=" SCompU0060U0029U03A9"
-CDU0000U1f68:="U1F6A"
-CRCU1F6A.=" U0000U1f68"
-CDU0000U0313U03A9:="U1F6A"
-CRCU1F6A.=" U0000U0313U03A9"
-CDU0000SCompU0029U03A9:="U1F6A"
-CRCU1F6A.=" U0000SCompU0029U03A9"
+CDTCgrvU1f68:="U1F6A"
+CRCU1F6A.=" TCgrvU1f68"
+CDTCgrvU0313U03A9:="U1F6A"
+CRCU1F6A.=" TCgrvU0313U03A9"
+CDTCgrvSCompU0029U03A9:="U1F6A"
+CRCU1F6A.=" TCgrvSCompU0029U03A9"
CDTgravU1f69:="U1F6B"
CRCU1F6B.=" TgravU1f69"
CDSCompU0060U1f69:="U1F6B"
@@ -7814,12 +7874,12 @@ CDSCompU0060U0314U03A9:="U1F6B"
CRCU1F6B.=" SCompU0060U0314U03A9"
CDSCompU0060U0028U03A9:="U1F6B"
CRCU1F6B.=" SCompU0060U0028U03A9"
-CDU0000U1f69:="U1F6B"
-CRCU1F6B.=" U0000U1f69"
-CDU0000U0314U03A9:="U1F6B"
-CRCU1F6B.=" U0000U0314U03A9"
-CDU0000SCompU0028U03A9:="U1F6B"
-CRCU1F6B.=" U0000SCompU0028U03A9"
+CDTCgrvU1f69:="U1F6B"
+CRCU1F6B.=" TCgrvU1f69"
+CDTCgrvU0314U03A9:="U1F6B"
+CRCU1F6B.=" TCgrvU0314U03A9"
+CDTCgrvSCompU0028U03A9:="U1F6B"
+CRCU1F6B.=" TCgrvSCompU0028U03A9"
CDTacutU1f68:="U1F6C"
CRCU1F6C.=" TacutU1f68"
CDSCompU00B4U1f68:="U1F6C"
@@ -7838,12 +7898,12 @@ CDSCompU0027U0313U03A9:="U1F6C"
CRCU1F6C.=" SCompU0027U0313U03A9"
CDSCompU0027U0029U03A9:="U1F6C"
CRCU1F6C.=" SCompU0027U0029U03A9"
-CDU0000U1f68:="U1F6C"
-CRCU1F6C.=" U0000U1f68"
-CDU0000U0313U03A9:="U1F6C"
-CRCU1F6C.=" U0000U0313U03A9"
-CDU0000SCompU0029U03A9:="U1F6C"
-CRCU1F6C.=" U0000SCompU0029U03A9"
+CDTCactU1f68:="U1F6C"
+CRCU1F6C.=" TCactU1f68"
+CDTCactU0313U03A9:="U1F6C"
+CRCU1F6C.=" TCactU0313U03A9"
+CDTCactSCompU0029U03A9:="U1F6C"
+CRCU1F6C.=" TCactSCompU0029U03A9"
CDTacutU1f69:="U1F6D"
CRCU1F6D.=" TacutU1f69"
CDSCompU00B4U1f69:="U1F6D"
@@ -7862,12 +7922,12 @@ CDSCompU0027U0314U03A9:="U1F6D"
CRCU1F6D.=" SCompU0027U0314U03A9"
CDSCompU0027U0028U03A9:="U1F6D"
CRCU1F6D.=" SCompU0027U0028U03A9"
-CDU0000U1f69:="U1F6D"
-CRCU1F6D.=" U0000U1f69"
-CDU0000U0314U03A9:="U1F6D"
-CRCU1F6D.=" U0000U0314U03A9"
-CDU0000SCompU0028U03A9:="U1F6D"
-CRCU1F6D.=" U0000SCompU0028U03A9"
+CDTCactU1f69:="U1F6D"
+CRCU1F6D.=" TCactU1f69"
+CDTCactU0314U03A9:="U1F6D"
+CRCU1F6D.=" TCactU0314U03A9"
+CDTCactSCompU0028U03A9:="U1F6D"
+CRCU1F6D.=" TCactSCompU0028U03A9"
CDU0342U1f68:="U1F6E"
CRCU1F6E.=" U0342U1f68"
CDTtldeU1f68:="U1F6E"
@@ -7908,102 +7968,107 @@ CDTgravU03B1:="U1F70"
CRCU1F70.=" TgravU03B1"
CDSCompU0060U03B1:="U1F70"
CRCU1F70.=" SCompU0060U03B1"
-CDU0000U03B1:="U1F70"
-CRCU1F70.=" U0000U03B1"
+CDTCgrvU03B1:="U1F70"
+CRCU1F70.=" TCgrvU03B1"
CDTgravU03B5:="U1F72"
CRCU1F72.=" TgravU03B5"
CDSCompU0060U03B5:="U1F72"
CRCU1F72.=" SCompU0060U03B5"
-CDU0000U03B5:="U1F72"
-CRCU1F72.=" U0000U03B5"
+CDTCgrvU03B5:="U1F72"
+CRCU1F72.=" TCgrvU03B5"
CDTgravU03B7:="U1F74"
CRCU1F74.=" TgravU03B7"
CDSCompU0060U03B7:="U1F74"
CRCU1F74.=" SCompU0060U03B7"
-CDU0000U03B7:="U1F74"
-CRCU1F74.=" U0000U03B7"
+CDTCgrvU03B7:="U1F74"
+CRCU1F74.=" TCgrvU03B7"
CDTgravU03B9:="U1F76"
CRCU1F76.=" TgravU03B9"
CDSCompU0060U03B9:="U1F76"
CRCU1F76.=" SCompU0060U03B9"
-CDU0000U03B9:="U1F76"
-CRCU1F76.=" U0000U03B9"
+CDTCgrvU03B9:="U1F76"
+CRCU1F76.=" TCgrvU03B9"
CDTgravU03BF:="U1F78"
CRCU1F78.=" TgravU03BF"
CDSCompU0060U03BF:="U1F78"
CRCU1F78.=" SCompU0060U03BF"
-CDU0000U03BF:="U1F78"
-CRCU1F78.=" U0000U03BF"
+CDTCgrvU03BF:="U1F78"
+CRCU1F78.=" TCgrvU03BF"
CDTgravU03C5:="U1F7A"
CRCU1F7A.=" TgravU03C5"
CDSCompU0060U03C5:="U1F7A"
CRCU1F7A.=" SCompU0060U03C5"
-CDU0000U03C5:="U1F7A"
-CRCU1F7A.=" U0000U03C5"
+CDTCgrvU03C5:="U1F7A"
+CRCU1F7A.=" TCgrvU03C5"
CDTgravU03C9:="U1F7C"
CRCU1F7C.=" TgravU03C9"
CDSCompU0060U03C9:="U1F7C"
CRCU1F7C.=" SCompU0060U03C9"
-CDU0000U03C9:="U1F7C"
-CRCU1F7C.=" U0000U03C9"
-CDU0000U1f00:="U1F80"
-CRCU1F80.=" U0000U1f00"
+CDTCgrvU03C9:="U1F7C"
+CRCU1F7C.=" TCgrvU03C9"
+CDTiotaU1f00:="U1F80"
+CRCU1F80.=" TiotaU1f00"
CMSCompU03B9:=1
CDSCompU03B9U1f00:="U1F80"
CRCU1F80.=" SCompU03B9U1f00"
-CDU0000U0313U03B1:="U1F80"
-CRCU1F80.=" U0000U0313U03B1"
-CDU0000SCompU0029U03B1:="U1F80"
-CRCU1F80.=" U0000SCompU0029U03B1"
+CMTiotaU0313:=1
+CDTiotaU0313U03B1:="U1F80"
+CRCU1F80.=" TiotaU0313U03B1"
+CMTiotaSComp:=1
+CMTiotaSCompU0029:=1
+CDTiotaSCompU0029U03B1:="U1F80"
+CRCU1F80.=" TiotaSCompU0029U03B1"
CMSCompU03B9U0313:=1
CDSCompU03B9U0313U03B1:="U1F80"
CRCU1F80.=" SCompU03B9U0313U03B1"
CMSCompU03B9U0029:=1
CDSCompU03B9U0029U03B1:="U1F80"
CRCU1F80.=" SCompU03B9U0029U03B1"
-CDU0000U1f01:="U1F81"
-CRCU1F81.=" U0000U1f01"
+CDTiotaU1f01:="U1F81"
+CRCU1F81.=" TiotaU1f01"
CDSCompU03B9U1f01:="U1F81"
CRCU1F81.=" SCompU03B9U1f01"
-CDU0000U0314U03B1:="U1F81"
-CRCU1F81.=" U0000U0314U03B1"
-CDU0000SCompU0028U03B1:="U1F81"
-CRCU1F81.=" U0000SCompU0028U03B1"
+CMTiotaU0314:=1
+CDTiotaU0314U03B1:="U1F81"
+CRCU1F81.=" TiotaU0314U03B1"
+CMTiotaSCompU0028:=1
+CDTiotaSCompU0028U03B1:="U1F81"
+CRCU1F81.=" TiotaSCompU0028U03B1"
CMSCompU03B9U0314:=1
CDSCompU03B9U0314U03B1:="U1F81"
CRCU1F81.=" SCompU03B9U0314U03B1"
CMSCompU03B9U0028:=1
CDSCompU03B9U0028U03B1:="U1F81"
CRCU1F81.=" SCompU03B9U0028U03B1"
-CDU0000U1f02:="U1F82"
-CRCU1F82.=" U0000U1f02"
+CDTiotaU1f02:="U1F82"
+CRCU1F82.=" TiotaU1f02"
CDSCompU03B9U1f02:="U1F82"
CRCU1F82.=" SCompU03B9U1f02"
-CMU0000Tgrav:=1
-CDU0000TgravU1f00:="U1F82"
-CRCU1F82.=" U0000TgravU1f00"
-CMU0000SCompU0060:=1
-CDU0000SCompU0060U1f00:="U1F82"
-CRCU1F82.=" U0000SCompU0060U1f00"
+CMTiotaTgrav:=1
+CDTiotaTgravU1f00:="U1F82"
+CRCU1F82.=" TiotaTgravU1f00"
+CMTiotaSCompU0060:=1
+CDTiotaSCompU0060U1f00:="U1F82"
+CRCU1F82.=" TiotaSCompU0060U1f00"
CMSCompU03B9Tgrav:=1
CDSCompU03B9TgravU1f00:="U1F82"
CRCU1F82.=" SCompU03B9TgravU1f00"
CMSCompU03B9U0060:=1
CDSCompU03B9U0060U1f00:="U1F82"
CRCU1F82.=" SCompU03B9U0060U1f00"
-CMU0000TgravU0313:=1
-CDU0000TgravU0313U03B1:="U1F82"
-CRCU1F82.=" U0000TgravU0313U03B1"
-CMU0000TgravSComp:=1
-CMU0000TgravSCompU0029:=1
-CDU0000TgravSCompU0029U03B1:="U1F82"
-CRCU1F82.=" U0000TgravSCompU0029U03B1"
-CMU0000SCompU0060U0313:=1
-CDU0000SCompU0060U0313U03B1:="U1F82"
-CRCU1F82.=" U0000SCompU0060U0313U03B1"
-CMU0000SCompU0060U0029:=1
-CDU0000SCompU0060U0029U03B1:="U1F82"
-CRCU1F82.=" U0000SCompU0060U0029U03B1"
+CMTiotaTgravU0313:=1
+CDTiotaTgravU0313U03B1:="U1F82"
+CRCU1F82.=" TiotaTgravU0313U03B1"
+CMTiotaTgravSComp:=1
+CMTiotaTgravSCompU0029:=1
+CDTiotaTgravSCompU0029U03B1:="U1F82"
+CRCU1F82.=" TiotaTgravSCompU0029U03B1"
+CMTiotaSCompU0060U0313:=1
+CDTiotaSCompU0060U0313U03B1:="U1F82"
+CRCU1F82.=" TiotaSCompU0060U0313U03B1"
+CMTiotaSCompU0060U0029:=1
+CDTiotaSCompU0060U0029U03B1:="U1F82"
+CRCU1F82.=" TiotaSCompU0060U0029U03B1"
CMSCompU03B9TgravU0313:=1
CDSCompU03B9TgravU0313U03B1:="U1F82"
CRCU1F82.=" SCompU03B9TgravU0313U03B1"
@@ -8016,48 +8081,49 @@ CRCU1F82.=" SCompU03B9U0060U0313U03B1"
CMSCompU03B9U0060U0029:=1
CDSCompU03B9U0060U0029U03B1:="U1F82"
CRCU1F82.=" SCompU03B9U0060U0029U03B1"
-CDU0000U0000U1f00:="U1F82"
-CRCU1F82.=" U0000U0000U1f00"
-CMSCompU03B9U0000:=1
-CDSCompU03B9U0000U1f00:="U1F82"
-CRCU1F82.=" SCompU03B9U0000U1f00"
-CMU0000U0000U0313:=1
-CDU0000U0000U0313U03B1:="U1F82"
-CRCU1F82.=" U0000U0000U0313U03B1"
-CMU0000U0000SComp:=1
-CMU0000U0000SCompU0029:=1
-CDU0000U0000SCompU0029U03B1:="U1F82"
-CRCU1F82.=" U0000U0000SCompU0029U03B1"
-CMSCompU03B9U0000U0313:=1
-CDSCompU03B9U0000U0313U03B1:="U1F82"
-CRCU1F82.=" SCompU03B9U0000U0313U03B1"
-CMSCompU03B9U0000U0029:=1
-CDSCompU03B9U0000U0029U03B1:="U1F82"
-CRCU1F82.=" SCompU03B9U0000U0029U03B1"
-CDU0000U1f03:="U1F83"
-CRCU1F83.=" U0000U1f03"
+CMTiotaTCgrv:=1
+CDTiotaTCgrvU1f00:="U1F82"
+CRCU1F82.=" TiotaTCgrvU1f00"
+CMSCompU03B9TCgrv:=1
+CDSCompU03B9TCgrvU1f00:="U1F82"
+CRCU1F82.=" SCompU03B9TCgrvU1f00"
+CMTiotaTCgrvU0313:=1
+CDTiotaTCgrvU0313U03B1:="U1F82"
+CRCU1F82.=" TiotaTCgrvU0313U03B1"
+CMTiotaTCgrvSComp:=1
+CMTiotaTCgrvSCompU0029:=1
+CDTiotaTCgrvSCompU0029U03B1:="U1F82"
+CRCU1F82.=" TiotaTCgrvSCompU0029U03B1"
+CMSCompU03B9TCgrvU0313:=1
+CDSCompU03B9TCgrvU0313U03B1:="U1F82"
+CRCU1F82.=" SCompU03B9TCgrvU0313U03B1"
+CMSCompU03B9TCgrvU0029:=1
+CDSCompU03B9TCgrvU0029U03B1:="U1F82"
+CRCU1F82.=" SCompU03B9TCgrvU0029U03B1"
+CDTiotaU1f03:="U1F83"
+CRCU1F83.=" TiotaU1f03"
CDSCompU03B9U1f03:="U1F83"
CRCU1F83.=" SCompU03B9U1f03"
-CDU0000TgravU1f01:="U1F83"
-CRCU1F83.=" U0000TgravU1f01"
-CDU0000SCompU0060U1f01:="U1F83"
-CRCU1F83.=" U0000SCompU0060U1f01"
+CDTiotaTgravU1f01:="U1F83"
+CRCU1F83.=" TiotaTgravU1f01"
+CDTiotaSCompU0060U1f01:="U1F83"
+CRCU1F83.=" TiotaSCompU0060U1f01"
CDSCompU03B9TgravU1f01:="U1F83"
CRCU1F83.=" SCompU03B9TgravU1f01"
CDSCompU03B9U0060U1f01:="U1F83"
CRCU1F83.=" SCompU03B9U0060U1f01"
-CMU0000TgravU0314:=1
-CDU0000TgravU0314U03B1:="U1F83"
-CRCU1F83.=" U0000TgravU0314U03B1"
-CMU0000TgravSCompU0028:=1
-CDU0000TgravSCompU0028U03B1:="U1F83"
-CRCU1F83.=" U0000TgravSCompU0028U03B1"
-CMU0000SCompU0060U0314:=1
-CDU0000SCompU0060U0314U03B1:="U1F83"
-CRCU1F83.=" U0000SCompU0060U0314U03B1"
-CMU0000SCompU0060U0028:=1
-CDU0000SCompU0060U0028U03B1:="U1F83"
-CRCU1F83.=" U0000SCompU0060U0028U03B1"
+CMTiotaTgravU0314:=1
+CDTiotaTgravU0314U03B1:="U1F83"
+CRCU1F83.=" TiotaTgravU0314U03B1"
+CMTiotaTgravSCompU0028:=1
+CDTiotaTgravSCompU0028U03B1:="U1F83"
+CRCU1F83.=" TiotaTgravSCompU0028U03B1"
+CMTiotaSCompU0060U0314:=1
+CDTiotaSCompU0060U0314U03B1:="U1F83"
+CRCU1F83.=" TiotaSCompU0060U0314U03B1"
+CMTiotaSCompU0060U0028:=1
+CDTiotaSCompU0060U0028U03B1:="U1F83"
+CRCU1F83.=" TiotaSCompU0060U0028U03B1"
CMSCompU03B9TgravU0314:=1
CDSCompU03B9TgravU0314U03B1:="U1F83"
CRCU1F83.=" SCompU03B9TgravU0314U03B1"
@@ -8070,35 +8136,35 @@ CRCU1F83.=" SCompU03B9U0060U0314U03B1"
CMSCompU03B9U0060U0028:=1
CDSCompU03B9U0060U0028U03B1:="U1F83"
CRCU1F83.=" SCompU03B9U0060U0028U03B1"
-CDU0000U0000U1f01:="U1F83"
-CRCU1F83.=" U0000U0000U1f01"
-CDSCompU03B9U0000U1f01:="U1F83"
-CRCU1F83.=" SCompU03B9U0000U1f01"
-CMU0000U0000U0314:=1
-CDU0000U0000U0314U03B1:="U1F83"
-CRCU1F83.=" U0000U0000U0314U03B1"
-CMU0000U0000SCompU0028:=1
-CDU0000U0000SCompU0028U03B1:="U1F83"
-CRCU1F83.=" U0000U0000SCompU0028U03B1"
-CMSCompU03B9U0000U0314:=1
-CDSCompU03B9U0000U0314U03B1:="U1F83"
-CRCU1F83.=" SCompU03B9U0000U0314U03B1"
-CMSCompU03B9U0000U0028:=1
-CDSCompU03B9U0000U0028U03B1:="U1F83"
-CRCU1F83.=" SCompU03B9U0000U0028U03B1"
-CDU0000U1f04:="U1F84"
-CRCU1F84.=" U0000U1f04"
+CDTiotaTCgrvU1f01:="U1F83"
+CRCU1F83.=" TiotaTCgrvU1f01"
+CDSCompU03B9TCgrvU1f01:="U1F83"
+CRCU1F83.=" SCompU03B9TCgrvU1f01"
+CMTiotaTCgrvU0314:=1
+CDTiotaTCgrvU0314U03B1:="U1F83"
+CRCU1F83.=" TiotaTCgrvU0314U03B1"
+CMTiotaTCgrvSCompU0028:=1
+CDTiotaTCgrvSCompU0028U03B1:="U1F83"
+CRCU1F83.=" TiotaTCgrvSCompU0028U03B1"
+CMSCompU03B9TCgrvU0314:=1
+CDSCompU03B9TCgrvU0314U03B1:="U1F83"
+CRCU1F83.=" SCompU03B9TCgrvU0314U03B1"
+CMSCompU03B9TCgrvU0028:=1
+CDSCompU03B9TCgrvU0028U03B1:="U1F83"
+CRCU1F83.=" SCompU03B9TCgrvU0028U03B1"
+CDTiotaU1f04:="U1F84"
+CRCU1F84.=" TiotaU1f04"
CDSCompU03B9U1f04:="U1F84"
CRCU1F84.=" SCompU03B9U1f04"
-CMU0000Tacut:=1
-CDU0000TacutU1f00:="U1F84"
-CRCU1F84.=" U0000TacutU1f00"
-CMU0000SCompU00B4:=1
-CDU0000SCompU00B4U1f00:="U1F84"
-CRCU1F84.=" U0000SCompU00B4U1f00"
-CMU0000SCompU0027:=1
-CDU0000SCompU0027U1f00:="U1F84"
-CRCU1F84.=" U0000SCompU0027U1f00"
+CMTiotaTacut:=1
+CDTiotaTacutU1f00:="U1F84"
+CRCU1F84.=" TiotaTacutU1f00"
+CMTiotaSCompU00B4:=1
+CDTiotaSCompU00B4U1f00:="U1F84"
+CRCU1F84.=" TiotaSCompU00B4U1f00"
+CMTiotaSCompU0027:=1
+CDTiotaSCompU0027U1f00:="U1F84"
+CRCU1F84.=" TiotaSCompU0027U1f00"
CMSCompU03B9Tacut:=1
CDSCompU03B9TacutU1f00:="U1F84"
CRCU1F84.=" SCompU03B9TacutU1f00"
@@ -8108,25 +8174,25 @@ CRCU1F84.=" SCompU03B9U00B4U1f00"
CMSCompU03B9U0027:=1
CDSCompU03B9U0027U1f00:="U1F84"
CRCU1F84.=" SCompU03B9U0027U1f00"
-CMU0000TacutU0313:=1
-CDU0000TacutU0313U03B1:="U1F84"
-CRCU1F84.=" U0000TacutU0313U03B1"
-CMU0000TacutSComp:=1
-CMU0000TacutSCompU0029:=1
-CDU0000TacutSCompU0029U03B1:="U1F84"
-CRCU1F84.=" U0000TacutSCompU0029U03B1"
-CMU0000SCompU00B4U0313:=1
-CDU0000SCompU00B4U0313U03B1:="U1F84"
-CRCU1F84.=" U0000SCompU00B4U0313U03B1"
-CMU0000SCompU00B4U0029:=1
-CDU0000SCompU00B4U0029U03B1:="U1F84"
-CRCU1F84.=" U0000SCompU00B4U0029U03B1"
-CMU0000SCompU0027U0313:=1
-CDU0000SCompU0027U0313U03B1:="U1F84"
-CRCU1F84.=" U0000SCompU0027U0313U03B1"
-CMU0000SCompU0027U0029:=1
-CDU0000SCompU0027U0029U03B1:="U1F84"
-CRCU1F84.=" U0000SCompU0027U0029U03B1"
+CMTiotaTacutU0313:=1
+CDTiotaTacutU0313U03B1:="U1F84"
+CRCU1F84.=" TiotaTacutU0313U03B1"
+CMTiotaTacutSComp:=1
+CMTiotaTacutSCompU0029:=1
+CDTiotaTacutSCompU0029U03B1:="U1F84"
+CRCU1F84.=" TiotaTacutSCompU0029U03B1"
+CMTiotaSCompU00B4U0313:=1
+CDTiotaSCompU00B4U0313U03B1:="U1F84"
+CRCU1F84.=" TiotaSCompU00B4U0313U03B1"
+CMTiotaSCompU00B4U0029:=1
+CDTiotaSCompU00B4U0029U03B1:="U1F84"
+CRCU1F84.=" TiotaSCompU00B4U0029U03B1"
+CMTiotaSCompU0027U0313:=1
+CDTiotaSCompU0027U0313U03B1:="U1F84"
+CRCU1F84.=" TiotaSCompU0027U0313U03B1"
+CMTiotaSCompU0027U0029:=1
+CDTiotaSCompU0027U0029U03B1:="U1F84"
+CRCU1F84.=" TiotaSCompU0027U0029U03B1"
CMSCompU03B9TacutU0313:=1
CDSCompU03B9TacutU0313U03B1:="U1F84"
CRCU1F84.=" SCompU03B9TacutU0313U03B1"
@@ -8145,52 +8211,59 @@ CRCU1F84.=" SCompU03B9U0027U0313U03B1"
CMSCompU03B9U0027U0029:=1
CDSCompU03B9U0027U0029U03B1:="U1F84"
CRCU1F84.=" SCompU03B9U0027U0029U03B1"
-CDU0000U0000U1f00:="U1F84"
-CRCU1F84.=" U0000U0000U1f00"
-CDSCompU03B9U0000U1f00:="U1F84"
-CRCU1F84.=" SCompU03B9U0000U1f00"
-CDU0000U0000U0313U03B1:="U1F84"
-CRCU1F84.=" U0000U0000U0313U03B1"
-CDU0000U0000SCompU0029U03B1:="U1F84"
-CRCU1F84.=" U0000U0000SCompU0029U03B1"
-CDSCompU03B9U0000U0313U03B1:="U1F84"
-CRCU1F84.=" SCompU03B9U0000U0313U03B1"
-CDSCompU03B9U0000U0029U03B1:="U1F84"
-CRCU1F84.=" SCompU03B9U0000U0029U03B1"
-CDU0000U1f05:="U1F85"
-CRCU1F85.=" U0000U1f05"
+CMTiotaTCact:=1
+CDTiotaTCactU1f00:="U1F84"
+CRCU1F84.=" TiotaTCactU1f00"
+CMSCompU03B9TCact:=1
+CDSCompU03B9TCactU1f00:="U1F84"
+CRCU1F84.=" SCompU03B9TCactU1f00"
+CMTiotaTCactU0313:=1
+CDTiotaTCactU0313U03B1:="U1F84"
+CRCU1F84.=" TiotaTCactU0313U03B1"
+CMTiotaTCactSComp:=1
+CMTiotaTCactSCompU0029:=1
+CDTiotaTCactSCompU0029U03B1:="U1F84"
+CRCU1F84.=" TiotaTCactSCompU0029U03B1"
+CMSCompU03B9TCactU0313:=1
+CDSCompU03B9TCactU0313U03B1:="U1F84"
+CRCU1F84.=" SCompU03B9TCactU0313U03B1"
+CMSCompU03B9TCactU0029:=1
+CDSCompU03B9TCactU0029U03B1:="U1F84"
+CRCU1F84.=" SCompU03B9TCactU0029U03B1"
+CDTiotaU1f05:="U1F85"
+CRCU1F85.=" TiotaU1f05"
CDSCompU03B9U1f05:="U1F85"
CRCU1F85.=" SCompU03B9U1f05"
-CDU0000TacutU1f01:="U1F85"
-CRCU1F85.=" U0000TacutU1f01"
-CDU0000SCompU00B4U1f01:="U1F85"
-CRCU1F85.=" U0000SCompU00B4U1f01"
-CDU0000SCompU0027U1f01:="U1F85"
-CRCU1F85.=" U0000SCompU0027U1f01"
+CDTiotaTacutU1f01:="U1F85"
+CRCU1F85.=" TiotaTacutU1f01"
+CDTiotaSCompU00B4U1f01:="U1F85"
+CRCU1F85.=" TiotaSCompU00B4U1f01"
+CDTiotaSCompU0027U1f01:="U1F85"
+CRCU1F85.=" TiotaSCompU0027U1f01"
CDSCompU03B9TacutU1f01:="U1F85"
CRCU1F85.=" SCompU03B9TacutU1f01"
CDSCompU03B9U00B4U1f01:="U1F85"
CRCU1F85.=" SCompU03B9U00B4U1f01"
CDSCompU03B9U0027U1f01:="U1F85"
CRCU1F85.=" SCompU03B9U0027U1f01"
-CMU0000TacutU0314:=1
-CDU0000TacutU0314U03B1:="U1F85"
-CRCU1F85.=" U0000TacutU0314U03B1"
-CMU0000TacutSCompU0028:=1
-CDU0000TacutSCompU0028U03B1:="U1F85"
-CRCU1F85.=" U0000TacutSCompU0028U03B1"
-CMU0000SCompU00B4U0314:=1
-CDU0000SCompU00B4U0314U03B1:="U1F85"
-CRCU1F85.=" U0000SCompU00B4U0314U03B1"
-CMU0000SCompU00B4U0028:=1
-CDU0000SCompU00B4U0028U03B1:="U1F85"
-CRCU1F85.=" U0000SCompU00B4U0028U03B1"
-CMU0000SCompU0027U0314:=1
-CDU0000SCompU0027U0314U03B1:="U1F85"
-CRCU1F85.=" U0000SCompU0027U0314U03B1"
-CMU0000SCompU0027U0028:=1
-CDU0000SCompU0027U0028U03B1:="U1F85"
-CRCU1F85.=" U0000SCompU0027U0028U03B1"
+CMTiotaTacutU0314:=1
+CDTiotaTacutU0314U03B1:="U1F85"
+CRCU1F85.=" TiotaTacutU0314U03B1"
+CMTiotaTacutSCompU0028:=1
+CDTiotaTacutSCompU0028U03B1:="U1F85"
+CRCU1F85.=" TiotaTacutSCompU0028U03B1"
+CMTiotaSCompU00B4U0314:=1
+CDTiotaSCompU00B4U0314U03B1:="U1F85"
+CRCU1F85.=" TiotaSCompU00B4U0314U03B1"
+CMTiotaSCompU00B4U0028:=1
+CDTiotaSCompU00B4U0028U03B1:="U1F85"
+CRCU1F85.=" TiotaSCompU00B4U0028U03B1"
+CMTiotaSCompU0027U0314:=1
+CDTiotaSCompU0027U0314U03B1:="U1F85"
+CRCU1F85.=" TiotaSCompU0027U0314U03B1"
+CMTiotaSCompU0027U0028:=1
+CDTiotaSCompU0027U0028U03B1:="U1F85"
+CRCU1F85.=" TiotaSCompU0027U0028U03B1"
CMSCompU03B9TacutU0314:=1
CDSCompU03B9TacutU0314U03B1:="U1F85"
CRCU1F85.=" SCompU03B9TacutU0314U03B1"
@@ -8209,29 +8282,35 @@ CRCU1F85.=" SCompU03B9U0027U0314U03B1"
CMSCompU03B9U0027U0028:=1
CDSCompU03B9U0027U0028U03B1:="U1F85"
CRCU1F85.=" SCompU03B9U0027U0028U03B1"
-CDU0000U0000U1f01:="U1F85"
-CRCU1F85.=" U0000U0000U1f01"
-CDSCompU03B9U0000U1f01:="U1F85"
-CRCU1F85.=" SCompU03B9U0000U1f01"
-CDU0000U0000U0314U03B1:="U1F85"
-CRCU1F85.=" U0000U0000U0314U03B1"
-CDU0000U0000SCompU0028U03B1:="U1F85"
-CRCU1F85.=" U0000U0000SCompU0028U03B1"
-CDSCompU03B9U0000U0314U03B1:="U1F85"
-CRCU1F85.=" SCompU03B9U0000U0314U03B1"
-CDSCompU03B9U0000U0028U03B1:="U1F85"
-CRCU1F85.=" SCompU03B9U0000U0028U03B1"
-CDU0000U1f06:="U1F86"
-CRCU1F86.=" U0000U1f06"
+CDTiotaTCactU1f01:="U1F85"
+CRCU1F85.=" TiotaTCactU1f01"
+CDSCompU03B9TCactU1f01:="U1F85"
+CRCU1F85.=" SCompU03B9TCactU1f01"
+CMTiotaTCactU0314:=1
+CDTiotaTCactU0314U03B1:="U1F85"
+CRCU1F85.=" TiotaTCactU0314U03B1"
+CMTiotaTCactSCompU0028:=1
+CDTiotaTCactSCompU0028U03B1:="U1F85"
+CRCU1F85.=" TiotaTCactSCompU0028U03B1"
+CMSCompU03B9TCactU0314:=1
+CDSCompU03B9TCactU0314U03B1:="U1F85"
+CRCU1F85.=" SCompU03B9TCactU0314U03B1"
+CMSCompU03B9TCactU0028:=1
+CDSCompU03B9TCactU0028U03B1:="U1F85"
+CRCU1F85.=" SCompU03B9TCactU0028U03B1"
+CDTiotaU1f06:="U1F86"
+CRCU1F86.=" TiotaU1f06"
CDSCompU03B9U1f06:="U1F86"
CRCU1F86.=" SCompU03B9U1f06"
-CMU0000U0342:=1
-CDU0000U0342U1f00:="U1F86"
-CRCU1F86.=" U0000U0342U1f00"
-CDU0000TtldeU1f00:="U1F86"
-CRCU1F86.=" U0000TtldeU1f00"
-CDU0000SCompU007EU1f00:="U1F86"
-CRCU1F86.=" U0000SCompU007EU1f00"
+CMTiotaU0342:=1
+CDTiotaU0342U1f00:="U1F86"
+CRCU1F86.=" TiotaU0342U1f00"
+CMTiotaTtlde:=1
+CDTiotaTtldeU1f00:="U1F86"
+CRCU1F86.=" TiotaTtldeU1f00"
+CMTiotaSCompU007E:=1
+CDTiotaSCompU007EU1f00:="U1F86"
+CRCU1F86.=" TiotaSCompU007EU1f00"
CMSCompU03B9U0342:=1
CDSCompU03B9U0342U1f00:="U1F86"
CRCU1F86.=" SCompU03B9U0342U1f00"
@@ -8241,26 +8320,26 @@ CRCU1F86.=" SCompU03B9TtldeU1f00"
CMSCompU03B9U007E:=1
CDSCompU03B9U007EU1f00:="U1F86"
CRCU1F86.=" SCompU03B9U007EU1f00"
-CMU0000U0342U0313:=1
-CDU0000U0342U0313U03B1:="U1F86"
-CRCU1F86.=" U0000U0342U0313U03B1"
-CMU0000U0342SComp:=1
-CMU0000U0342SCompU0029:=1
-CDU0000U0342SCompU0029U03B1:="U1F86"
-CRCU1F86.=" U0000U0342SCompU0029U03B1"
-CMU0000TtldeU0313:=1
-CDU0000TtldeU0313U03B1:="U1F86"
-CRCU1F86.=" U0000TtldeU0313U03B1"
-CMU0000TtldeSComp:=1
-CMU0000TtldeSCompU0029:=1
-CDU0000TtldeSCompU0029U03B1:="U1F86"
-CRCU1F86.=" U0000TtldeSCompU0029U03B1"
-CMU0000SCompU007EU0313:=1
-CDU0000SCompU007EU0313U03B1:="U1F86"
-CRCU1F86.=" U0000SCompU007EU0313U03B1"
-CMU0000SCompU007EU0029:=1
-CDU0000SCompU007EU0029U03B1:="U1F86"
-CRCU1F86.=" U0000SCompU007EU0029U03B1"
+CMTiotaU0342U0313:=1
+CDTiotaU0342U0313U03B1:="U1F86"
+CRCU1F86.=" TiotaU0342U0313U03B1"
+CMTiotaU0342SComp:=1
+CMTiotaU0342SCompU0029:=1
+CDTiotaU0342SCompU0029U03B1:="U1F86"
+CRCU1F86.=" TiotaU0342SCompU0029U03B1"
+CMTiotaTtldeU0313:=1
+CDTiotaTtldeU0313U03B1:="U1F86"
+CRCU1F86.=" TiotaTtldeU0313U03B1"
+CMTiotaTtldeSComp:=1
+CMTiotaTtldeSCompU0029:=1
+CDTiotaTtldeSCompU0029U03B1:="U1F86"
+CRCU1F86.=" TiotaTtldeSCompU0029U03B1"
+CMTiotaSCompU007EU0313:=1
+CDTiotaSCompU007EU0313U03B1:="U1F86"
+CRCU1F86.=" TiotaSCompU007EU0313U03B1"
+CMTiotaSCompU007EU0029:=1
+CDTiotaSCompU007EU0029U03B1:="U1F86"
+CRCU1F86.=" TiotaSCompU007EU0029U03B1"
CMSCompU03B9U0342U0313:=1
CDSCompU03B9U0342U0313U03B1:="U1F86"
CRCU1F86.=" SCompU03B9U0342U0313U03B1"
@@ -8279,40 +8358,40 @@ CRCU1F86.=" SCompU03B9U007EU0313U03B1"
CMSCompU03B9U007EU0029:=1
CDSCompU03B9U007EU0029U03B1:="U1F86"
CRCU1F86.=" SCompU03B9U007EU0029U03B1"
-CDU0000U1f07:="U1F87"
-CRCU1F87.=" U0000U1f07"
+CDTiotaU1f07:="U1F87"
+CRCU1F87.=" TiotaU1f07"
CDSCompU03B9U1f07:="U1F87"
CRCU1F87.=" SCompU03B9U1f07"
-CDU0000U0342U1f01:="U1F87"
-CRCU1F87.=" U0000U0342U1f01"
-CDU0000TtldeU1f01:="U1F87"
-CRCU1F87.=" U0000TtldeU1f01"
-CDU0000SCompU007EU1f01:="U1F87"
-CRCU1F87.=" U0000SCompU007EU1f01"
+CDTiotaU0342U1f01:="U1F87"
+CRCU1F87.=" TiotaU0342U1f01"
+CDTiotaTtldeU1f01:="U1F87"
+CRCU1F87.=" TiotaTtldeU1f01"
+CDTiotaSCompU007EU1f01:="U1F87"
+CRCU1F87.=" TiotaSCompU007EU1f01"
CDSCompU03B9U0342U1f01:="U1F87"
CRCU1F87.=" SCompU03B9U0342U1f01"
CDSCompU03B9TtldeU1f01:="U1F87"
CRCU1F87.=" SCompU03B9TtldeU1f01"
CDSCompU03B9U007EU1f01:="U1F87"
CRCU1F87.=" SCompU03B9U007EU1f01"
-CMU0000U0342U0314:=1
-CDU0000U0342U0314U03B1:="U1F87"
-CRCU1F87.=" U0000U0342U0314U03B1"
-CMU0000U0342SCompU0028:=1
-CDU0000U0342SCompU0028U03B1:="U1F87"
-CRCU1F87.=" U0000U0342SCompU0028U03B1"
-CMU0000TtldeU0314:=1
-CDU0000TtldeU0314U03B1:="U1F87"
-CRCU1F87.=" U0000TtldeU0314U03B1"
-CMU0000TtldeSCompU0028:=1
-CDU0000TtldeSCompU0028U03B1:="U1F87"
-CRCU1F87.=" U0000TtldeSCompU0028U03B1"
-CMU0000SCompU007EU0314:=1
-CDU0000SCompU007EU0314U03B1:="U1F87"
-CRCU1F87.=" U0000SCompU007EU0314U03B1"
-CMU0000SCompU007EU0028:=1
-CDU0000SCompU007EU0028U03B1:="U1F87"
-CRCU1F87.=" U0000SCompU007EU0028U03B1"
+CMTiotaU0342U0314:=1
+CDTiotaU0342U0314U03B1:="U1F87"
+CRCU1F87.=" TiotaU0342U0314U03B1"
+CMTiotaU0342SCompU0028:=1
+CDTiotaU0342SCompU0028U03B1:="U1F87"
+CRCU1F87.=" TiotaU0342SCompU0028U03B1"
+CMTiotaTtldeU0314:=1
+CDTiotaTtldeU0314U03B1:="U1F87"
+CRCU1F87.=" TiotaTtldeU0314U03B1"
+CMTiotaTtldeSCompU0028:=1
+CDTiotaTtldeSCompU0028U03B1:="U1F87"
+CRCU1F87.=" TiotaTtldeSCompU0028U03B1"
+CMTiotaSCompU007EU0314:=1
+CDTiotaSCompU007EU0314U03B1:="U1F87"
+CRCU1F87.=" TiotaSCompU007EU0314U03B1"
+CMTiotaSCompU007EU0028:=1
+CDTiotaSCompU007EU0028U03B1:="U1F87"
+CRCU1F87.=" TiotaSCompU007EU0028U03B1"
CMSCompU03B9U0342U0314:=1
CDSCompU03B9U0342U0314U03B1:="U1F87"
CRCU1F87.=" SCompU03B9U0342U0314U03B1"
@@ -8331,50 +8410,50 @@ CRCU1F87.=" SCompU03B9U007EU0314U03B1"
CMSCompU03B9U007EU0028:=1
CDSCompU03B9U007EU0028U03B1:="U1F87"
CRCU1F87.=" SCompU03B9U007EU0028U03B1"
-CDU0000U1f08:="U1F88"
-CRCU1F88.=" U0000U1f08"
+CDTiotaU1f08:="U1F88"
+CRCU1F88.=" TiotaU1f08"
CDSCompU03B9U1f08:="U1F88"
CRCU1F88.=" SCompU03B9U1f08"
-CDU0000U0313U0391:="U1F88"
-CRCU1F88.=" U0000U0313U0391"
-CDU0000SCompU0029U0391:="U1F88"
-CRCU1F88.=" U0000SCompU0029U0391"
+CDTiotaU0313U0391:="U1F88"
+CRCU1F88.=" TiotaU0313U0391"
+CDTiotaSCompU0029U0391:="U1F88"
+CRCU1F88.=" TiotaSCompU0029U0391"
CDSCompU03B9U0313U0391:="U1F88"
CRCU1F88.=" SCompU03B9U0313U0391"
CDSCompU03B9U0029U0391:="U1F88"
CRCU1F88.=" SCompU03B9U0029U0391"
-CDU0000U1f09:="U1F89"
-CRCU1F89.=" U0000U1f09"
+CDTiotaU1f09:="U1F89"
+CRCU1F89.=" TiotaU1f09"
CDSCompU03B9U1f09:="U1F89"
CRCU1F89.=" SCompU03B9U1f09"
-CDU0000U0314U0391:="U1F89"
-CRCU1F89.=" U0000U0314U0391"
-CDU0000SCompU0028U0391:="U1F89"
-CRCU1F89.=" U0000SCompU0028U0391"
+CDTiotaU0314U0391:="U1F89"
+CRCU1F89.=" TiotaU0314U0391"
+CDTiotaSCompU0028U0391:="U1F89"
+CRCU1F89.=" TiotaSCompU0028U0391"
CDSCompU03B9U0314U0391:="U1F89"
CRCU1F89.=" SCompU03B9U0314U0391"
CDSCompU03B9U0028U0391:="U1F89"
CRCU1F89.=" SCompU03B9U0028U0391"
-CDU0000U1f0a:="U1F8A"
-CRCU1F8A.=" U0000U1f0a"
+CDTiotaU1f0a:="U1F8A"
+CRCU1F8A.=" TiotaU1f0a"
CDSCompU03B9U1f0a:="U1F8A"
CRCU1F8A.=" SCompU03B9U1f0a"
-CDU0000TgravU1f08:="U1F8A"
-CRCU1F8A.=" U0000TgravU1f08"
-CDU0000SCompU0060U1f08:="U1F8A"
-CRCU1F8A.=" U0000SCompU0060U1f08"
+CDTiotaTgravU1f08:="U1F8A"
+CRCU1F8A.=" TiotaTgravU1f08"
+CDTiotaSCompU0060U1f08:="U1F8A"
+CRCU1F8A.=" TiotaSCompU0060U1f08"
CDSCompU03B9TgravU1f08:="U1F8A"
CRCU1F8A.=" SCompU03B9TgravU1f08"
CDSCompU03B9U0060U1f08:="U1F8A"
CRCU1F8A.=" SCompU03B9U0060U1f08"
-CDU0000TgravU0313U0391:="U1F8A"
-CRCU1F8A.=" U0000TgravU0313U0391"
-CDU0000TgravSCompU0029U0391:="U1F8A"
-CRCU1F8A.=" U0000TgravSCompU0029U0391"
-CDU0000SCompU0060U0313U0391:="U1F8A"
-CRCU1F8A.=" U0000SCompU0060U0313U0391"
-CDU0000SCompU0060U0029U0391:="U1F8A"
-CRCU1F8A.=" U0000SCompU0060U0029U0391"
+CDTiotaTgravU0313U0391:="U1F8A"
+CRCU1F8A.=" TiotaTgravU0313U0391"
+CDTiotaTgravSCompU0029U0391:="U1F8A"
+CRCU1F8A.=" TiotaTgravSCompU0029U0391"
+CDTiotaSCompU0060U0313U0391:="U1F8A"
+CRCU1F8A.=" TiotaSCompU0060U0313U0391"
+CDTiotaSCompU0060U0029U0391:="U1F8A"
+CRCU1F8A.=" TiotaSCompU0060U0029U0391"
CDSCompU03B9TgravU0313U0391:="U1F8A"
CRCU1F8A.=" SCompU03B9TgravU0313U0391"
CDSCompU03B9TgravU0029U0391:="U1F8A"
@@ -8383,38 +8462,38 @@ CDSCompU03B9U0060U0313U0391:="U1F8A"
CRCU1F8A.=" SCompU03B9U0060U0313U0391"
CDSCompU03B9U0060U0029U0391:="U1F8A"
CRCU1F8A.=" SCompU03B9U0060U0029U0391"
-CDU0000U0000U1f08:="U1F8A"
-CRCU1F8A.=" U0000U0000U1f08"
-CDSCompU03B9U0000U1f08:="U1F8A"
-CRCU1F8A.=" SCompU03B9U0000U1f08"
-CDU0000U0000U0313U0391:="U1F8A"
-CRCU1F8A.=" U0000U0000U0313U0391"
-CDU0000U0000SCompU0029U0391:="U1F8A"
-CRCU1F8A.=" U0000U0000SCompU0029U0391"
-CDSCompU03B9U0000U0313U0391:="U1F8A"
-CRCU1F8A.=" SCompU03B9U0000U0313U0391"
-CDSCompU03B9U0000U0029U0391:="U1F8A"
-CRCU1F8A.=" SCompU03B9U0000U0029U0391"
-CDU0000U1f0b:="U1F8B"
-CRCU1F8B.=" U0000U1f0b"
+CDTiotaTCgrvU1f08:="U1F8A"
+CRCU1F8A.=" TiotaTCgrvU1f08"
+CDSCompU03B9TCgrvU1f08:="U1F8A"
+CRCU1F8A.=" SCompU03B9TCgrvU1f08"
+CDTiotaTCgrvU0313U0391:="U1F8A"
+CRCU1F8A.=" TiotaTCgrvU0313U0391"
+CDTiotaTCgrvSCompU0029U0391:="U1F8A"
+CRCU1F8A.=" TiotaTCgrvSCompU0029U0391"
+CDSCompU03B9TCgrvU0313U0391:="U1F8A"
+CRCU1F8A.=" SCompU03B9TCgrvU0313U0391"
+CDSCompU03B9TCgrvU0029U0391:="U1F8A"
+CRCU1F8A.=" SCompU03B9TCgrvU0029U0391"
+CDTiotaU1f0b:="U1F8B"
+CRCU1F8B.=" TiotaU1f0b"
CDSCompU03B9U1f0b:="U1F8B"
CRCU1F8B.=" SCompU03B9U1f0b"
-CDU0000TgravU1f09:="U1F8B"
-CRCU1F8B.=" U0000TgravU1f09"
-CDU0000SCompU0060U1f09:="U1F8B"
-CRCU1F8B.=" U0000SCompU0060U1f09"
+CDTiotaTgravU1f09:="U1F8B"
+CRCU1F8B.=" TiotaTgravU1f09"
+CDTiotaSCompU0060U1f09:="U1F8B"
+CRCU1F8B.=" TiotaSCompU0060U1f09"
CDSCompU03B9TgravU1f09:="U1F8B"
CRCU1F8B.=" SCompU03B9TgravU1f09"
CDSCompU03B9U0060U1f09:="U1F8B"
CRCU1F8B.=" SCompU03B9U0060U1f09"
-CDU0000TgravU0314U0391:="U1F8B"
-CRCU1F8B.=" U0000TgravU0314U0391"
-CDU0000TgravSCompU0028U0391:="U1F8B"
-CRCU1F8B.=" U0000TgravSCompU0028U0391"
-CDU0000SCompU0060U0314U0391:="U1F8B"
-CRCU1F8B.=" U0000SCompU0060U0314U0391"
-CDU0000SCompU0060U0028U0391:="U1F8B"
-CRCU1F8B.=" U0000SCompU0060U0028U0391"
+CDTiotaTgravU0314U0391:="U1F8B"
+CRCU1F8B.=" TiotaTgravU0314U0391"
+CDTiotaTgravSCompU0028U0391:="U1F8B"
+CRCU1F8B.=" TiotaTgravSCompU0028U0391"
+CDTiotaSCompU0060U0314U0391:="U1F8B"
+CRCU1F8B.=" TiotaSCompU0060U0314U0391"
+CDTiotaSCompU0060U0028U0391:="U1F8B"
+CRCU1F8B.=" TiotaSCompU0060U0028U0391"
CDSCompU03B9TgravU0314U0391:="U1F8B"
CRCU1F8B.=" SCompU03B9TgravU0314U0391"
CDSCompU03B9TgravU0028U0391:="U1F8B"
@@ -8423,46 +8502,46 @@ CDSCompU03B9U0060U0314U0391:="U1F8B"
CRCU1F8B.=" SCompU03B9U0060U0314U0391"
CDSCompU03B9U0060U0028U0391:="U1F8B"
CRCU1F8B.=" SCompU03B9U0060U0028U0391"
-CDU0000U0000U1f09:="U1F8B"
-CRCU1F8B.=" U0000U0000U1f09"
-CDSCompU03B9U0000U1f09:="U1F8B"
-CRCU1F8B.=" SCompU03B9U0000U1f09"
-CDU0000U0000U0314U0391:="U1F8B"
-CRCU1F8B.=" U0000U0000U0314U0391"
-CDU0000U0000SCompU0028U0391:="U1F8B"
-CRCU1F8B.=" U0000U0000SCompU0028U0391"
-CDSCompU03B9U0000U0314U0391:="U1F8B"
-CRCU1F8B.=" SCompU03B9U0000U0314U0391"
-CDSCompU03B9U0000U0028U0391:="U1F8B"
-CRCU1F8B.=" SCompU03B9U0000U0028U0391"
-CDU0000U1f0c:="U1F8C"
-CRCU1F8C.=" U0000U1f0c"
+CDTiotaTCgrvU1f09:="U1F8B"
+CRCU1F8B.=" TiotaTCgrvU1f09"
+CDSCompU03B9TCgrvU1f09:="U1F8B"
+CRCU1F8B.=" SCompU03B9TCgrvU1f09"
+CDTiotaTCgrvU0314U0391:="U1F8B"
+CRCU1F8B.=" TiotaTCgrvU0314U0391"
+CDTiotaTCgrvSCompU0028U0391:="U1F8B"
+CRCU1F8B.=" TiotaTCgrvSCompU0028U0391"
+CDSCompU03B9TCgrvU0314U0391:="U1F8B"
+CRCU1F8B.=" SCompU03B9TCgrvU0314U0391"
+CDSCompU03B9TCgrvU0028U0391:="U1F8B"
+CRCU1F8B.=" SCompU03B9TCgrvU0028U0391"
+CDTiotaU1f0c:="U1F8C"
+CRCU1F8C.=" TiotaU1f0c"
CDSCompU03B9U1f0c:="U1F8C"
CRCU1F8C.=" SCompU03B9U1f0c"
-CDU0000TacutU1f08:="U1F8C"
-CRCU1F8C.=" U0000TacutU1f08"
-CDU0000SCompU00B4U1f08:="U1F8C"
-CRCU1F8C.=" U0000SCompU00B4U1f08"
-CDU0000SCompU0027U1f08:="U1F8C"
-CRCU1F8C.=" U0000SCompU0027U1f08"
+CDTiotaTacutU1f08:="U1F8C"
+CRCU1F8C.=" TiotaTacutU1f08"
+CDTiotaSCompU00B4U1f08:="U1F8C"
+CRCU1F8C.=" TiotaSCompU00B4U1f08"
+CDTiotaSCompU0027U1f08:="U1F8C"
+CRCU1F8C.=" TiotaSCompU0027U1f08"
CDSCompU03B9TacutU1f08:="U1F8C"
CRCU1F8C.=" SCompU03B9TacutU1f08"
CDSCompU03B9U00B4U1f08:="U1F8C"
CRCU1F8C.=" SCompU03B9U00B4U1f08"
CDSCompU03B9U0027U1f08:="U1F8C"
CRCU1F8C.=" SCompU03B9U0027U1f08"
-CDU0000TacutU0313U0391:="U1F8C"
-CRCU1F8C.=" U0000TacutU0313U0391"
-CDU0000TacutSCompU0029U0391:="U1F8C"
-CRCU1F8C.=" U0000TacutSCompU0029U0391"
-CDU0000SCompU00B4U0313U0391:="U1F8C"
-CRCU1F8C.=" U0000SCompU00B4U0313U0391"
-CDU0000SCompU00B4U0029U0391:="U1F8C"
-CRCU1F8C.=" U0000SCompU00B4U0029U0391"
-CDU0000SCompU0027U0313U0391:="U1F8C"
-CRCU1F8C.=" U0000SCompU0027U0313U0391"
-CDU0000SCompU0027U0029U0391:="U1F8C"
-CRCU1F8C.=" U0000SCompU0027U0029U0391"
+CDTiotaTacutU0313U0391:="U1F8C"
+CRCU1F8C.=" TiotaTacutU0313U0391"
+CDTiotaTacutSCompU0029U0391:="U1F8C"
+CRCU1F8C.=" TiotaTacutSCompU0029U0391"
+CDTiotaSCompU00B4U0313U0391:="U1F8C"
+CRCU1F8C.=" TiotaSCompU00B4U0313U0391"
+CDTiotaSCompU00B4U0029U0391:="U1F8C"
+CRCU1F8C.=" TiotaSCompU00B4U0029U0391"
+CDTiotaSCompU0027U0313U0391:="U1F8C"
+CRCU1F8C.=" TiotaSCompU0027U0313U0391"
+CDTiotaSCompU0027U0029U0391:="U1F8C"
+CRCU1F8C.=" TiotaSCompU0027U0029U0391"
CDSCompU03B9TacutU0313U0391:="U1F8C"
CRCU1F8C.=" SCompU03B9TacutU0313U0391"
CDSCompU03B9TacutU0029U0391:="U1F8C"
@@ -8475,46 +8554,46 @@ CDSCompU03B9U0027U0313U0391:="U1F8C"
CRCU1F8C.=" SCompU03B9U0027U0313U0391"
CDSCompU03B9U0027U0029U0391:="U1F8C"
CRCU1F8C.=" SCompU03B9U0027U0029U0391"
-CDU0000U0000U1f08:="U1F8C"
-CRCU1F8C.=" U0000U0000U1f08"
-CDSCompU03B9U0000U1f08:="U1F8C"
-CRCU1F8C.=" SCompU03B9U0000U1f08"
-CDU0000U0000U0313U0391:="U1F8C"
-CRCU1F8C.=" U0000U0000U0313U0391"
-CDU0000U0000SCompU0029U0391:="U1F8C"
-CRCU1F8C.=" U0000U0000SCompU0029U0391"
-CDSCompU03B9U0000U0313U0391:="U1F8C"
-CRCU1F8C.=" SCompU03B9U0000U0313U0391"
-CDSCompU03B9U0000U0029U0391:="U1F8C"
-CRCU1F8C.=" SCompU03B9U0000U0029U0391"
-CDU0000U1f0d:="U1F8D"
-CRCU1F8D.=" U0000U1f0d"
+CDTiotaTCactU1f08:="U1F8C"
+CRCU1F8C.=" TiotaTCactU1f08"
+CDSCompU03B9TCactU1f08:="U1F8C"
+CRCU1F8C.=" SCompU03B9TCactU1f08"
+CDTiotaTCactU0313U0391:="U1F8C"
+CRCU1F8C.=" TiotaTCactU0313U0391"
+CDTiotaTCactSCompU0029U0391:="U1F8C"
+CRCU1F8C.=" TiotaTCactSCompU0029U0391"
+CDSCompU03B9TCactU0313U0391:="U1F8C"
+CRCU1F8C.=" SCompU03B9TCactU0313U0391"
+CDSCompU03B9TCactU0029U0391:="U1F8C"
+CRCU1F8C.=" SCompU03B9TCactU0029U0391"
+CDTiotaU1f0d:="U1F8D"
+CRCU1F8D.=" TiotaU1f0d"
CDSCompU03B9U1f0d:="U1F8D"
CRCU1F8D.=" SCompU03B9U1f0d"
-CDU0000TacutU1f09:="U1F8D"
-CRCU1F8D.=" U0000TacutU1f09"
-CDU0000SCompU00B4U1f09:="U1F8D"
-CRCU1F8D.=" U0000SCompU00B4U1f09"
-CDU0000SCompU0027U1f09:="U1F8D"
-CRCU1F8D.=" U0000SCompU0027U1f09"
+CDTiotaTacutU1f09:="U1F8D"
+CRCU1F8D.=" TiotaTacutU1f09"
+CDTiotaSCompU00B4U1f09:="U1F8D"
+CRCU1F8D.=" TiotaSCompU00B4U1f09"
+CDTiotaSCompU0027U1f09:="U1F8D"
+CRCU1F8D.=" TiotaSCompU0027U1f09"
CDSCompU03B9TacutU1f09:="U1F8D"
CRCU1F8D.=" SCompU03B9TacutU1f09"
CDSCompU03B9U00B4U1f09:="U1F8D"
CRCU1F8D.=" SCompU03B9U00B4U1f09"
CDSCompU03B9U0027U1f09:="U1F8D"
CRCU1F8D.=" SCompU03B9U0027U1f09"
-CDU0000TacutU0314U0391:="U1F8D"
-CRCU1F8D.=" U0000TacutU0314U0391"
-CDU0000TacutSCompU0028U0391:="U1F8D"
-CRCU1F8D.=" U0000TacutSCompU0028U0391"
-CDU0000SCompU00B4U0314U0391:="U1F8D"
-CRCU1F8D.=" U0000SCompU00B4U0314U0391"
-CDU0000SCompU00B4U0028U0391:="U1F8D"
-CRCU1F8D.=" U0000SCompU00B4U0028U0391"
-CDU0000SCompU0027U0314U0391:="U1F8D"
-CRCU1F8D.=" U0000SCompU0027U0314U0391"
-CDU0000SCompU0027U0028U0391:="U1F8D"
-CRCU1F8D.=" U0000SCompU0027U0028U0391"
+CDTiotaTacutU0314U0391:="U1F8D"
+CRCU1F8D.=" TiotaTacutU0314U0391"
+CDTiotaTacutSCompU0028U0391:="U1F8D"
+CRCU1F8D.=" TiotaTacutSCompU0028U0391"
+CDTiotaSCompU00B4U0314U0391:="U1F8D"
+CRCU1F8D.=" TiotaSCompU00B4U0314U0391"
+CDTiotaSCompU00B4U0028U0391:="U1F8D"
+CRCU1F8D.=" TiotaSCompU00B4U0028U0391"
+CDTiotaSCompU0027U0314U0391:="U1F8D"
+CRCU1F8D.=" TiotaSCompU0027U0314U0391"
+CDTiotaSCompU0027U0028U0391:="U1F8D"
+CRCU1F8D.=" TiotaSCompU0027U0028U0391"
CDSCompU03B9TacutU0314U0391:="U1F8D"
CRCU1F8D.=" SCompU03B9TacutU0314U0391"
CDSCompU03B9TacutU0028U0391:="U1F8D"
@@ -8527,46 +8606,46 @@ CDSCompU03B9U0027U0314U0391:="U1F8D"
CRCU1F8D.=" SCompU03B9U0027U0314U0391"
CDSCompU03B9U0027U0028U0391:="U1F8D"
CRCU1F8D.=" SCompU03B9U0027U0028U0391"
-CDU0000U0000U1f09:="U1F8D"
-CRCU1F8D.=" U0000U0000U1f09"
-CDSCompU03B9U0000U1f09:="U1F8D"
-CRCU1F8D.=" SCompU03B9U0000U1f09"
-CDU0000U0000U0314U0391:="U1F8D"
-CRCU1F8D.=" U0000U0000U0314U0391"
-CDU0000U0000SCompU0028U0391:="U1F8D"
-CRCU1F8D.=" U0000U0000SCompU0028U0391"
-CDSCompU03B9U0000U0314U0391:="U1F8D"
-CRCU1F8D.=" SCompU03B9U0000U0314U0391"
-CDSCompU03B9U0000U0028U0391:="U1F8D"
-CRCU1F8D.=" SCompU03B9U0000U0028U0391"
-CDU0000U1f0e:="U1F8E"
-CRCU1F8E.=" U0000U1f0e"
+CDTiotaTCactU1f09:="U1F8D"
+CRCU1F8D.=" TiotaTCactU1f09"
+CDSCompU03B9TCactU1f09:="U1F8D"
+CRCU1F8D.=" SCompU03B9TCactU1f09"
+CDTiotaTCactU0314U0391:="U1F8D"
+CRCU1F8D.=" TiotaTCactU0314U0391"
+CDTiotaTCactSCompU0028U0391:="U1F8D"
+CRCU1F8D.=" TiotaTCactSCompU0028U0391"
+CDSCompU03B9TCactU0314U0391:="U1F8D"
+CRCU1F8D.=" SCompU03B9TCactU0314U0391"
+CDSCompU03B9TCactU0028U0391:="U1F8D"
+CRCU1F8D.=" SCompU03B9TCactU0028U0391"
+CDTiotaU1f0e:="U1F8E"
+CRCU1F8E.=" TiotaU1f0e"
CDSCompU03B9U1f0e:="U1F8E"
CRCU1F8E.=" SCompU03B9U1f0e"
-CDU0000U0342U1f08:="U1F8E"
-CRCU1F8E.=" U0000U0342U1f08"
-CDU0000TtldeU1f08:="U1F8E"
-CRCU1F8E.=" U0000TtldeU1f08"
-CDU0000SCompU007EU1f08:="U1F8E"
-CRCU1F8E.=" U0000SCompU007EU1f08"
+CDTiotaU0342U1f08:="U1F8E"
+CRCU1F8E.=" TiotaU0342U1f08"
+CDTiotaTtldeU1f08:="U1F8E"
+CRCU1F8E.=" TiotaTtldeU1f08"
+CDTiotaSCompU007EU1f08:="U1F8E"
+CRCU1F8E.=" TiotaSCompU007EU1f08"
CDSCompU03B9U0342U1f08:="U1F8E"
CRCU1F8E.=" SCompU03B9U0342U1f08"
CDSCompU03B9TtldeU1f08:="U1F8E"
CRCU1F8E.=" SCompU03B9TtldeU1f08"
CDSCompU03B9U007EU1f08:="U1F8E"
CRCU1F8E.=" SCompU03B9U007EU1f08"
-CDU0000U0342U0313U0391:="U1F8E"
-CRCU1F8E.=" U0000U0342U0313U0391"
-CDU0000U0342SCompU0029U0391:="U1F8E"
-CRCU1F8E.=" U0000U0342SCompU0029U0391"
-CDU0000TtldeU0313U0391:="U1F8E"
-CRCU1F8E.=" U0000TtldeU0313U0391"
-CDU0000TtldeSCompU0029U0391:="U1F8E"
-CRCU1F8E.=" U0000TtldeSCompU0029U0391"
-CDU0000SCompU007EU0313U0391:="U1F8E"
-CRCU1F8E.=" U0000SCompU007EU0313U0391"
-CDU0000SCompU007EU0029U0391:="U1F8E"
-CRCU1F8E.=" U0000SCompU007EU0029U0391"
+CDTiotaU0342U0313U0391:="U1F8E"
+CRCU1F8E.=" TiotaU0342U0313U0391"
+CDTiotaU0342SCompU0029U0391:="U1F8E"
+CRCU1F8E.=" TiotaU0342SCompU0029U0391"
+CDTiotaTtldeU0313U0391:="U1F8E"
+CRCU1F8E.=" TiotaTtldeU0313U0391"
+CDTiotaTtldeSCompU0029U0391:="U1F8E"
+CRCU1F8E.=" TiotaTtldeSCompU0029U0391"
+CDTiotaSCompU007EU0313U0391:="U1F8E"
+CRCU1F8E.=" TiotaSCompU007EU0313U0391"
+CDTiotaSCompU007EU0029U0391:="U1F8E"
+CRCU1F8E.=" TiotaSCompU007EU0029U0391"
CDSCompU03B9U0342U0313U0391:="U1F8E"
CRCU1F8E.=" SCompU03B9U0342U0313U0391"
CDSCompU03B9U0342U0029U0391:="U1F8E"
@@ -8579,34 +8658,34 @@ CDSCompU03B9U007EU0313U0391:="U1F8E"
CRCU1F8E.=" SCompU03B9U007EU0313U0391"
CDSCompU03B9U007EU0029U0391:="U1F8E"
CRCU1F8E.=" SCompU03B9U007EU0029U0391"
-CDU0000U1f0f:="U1F8F"
-CRCU1F8F.=" U0000U1f0f"
+CDTiotaU1f0f:="U1F8F"
+CRCU1F8F.=" TiotaU1f0f"
CDSCompU03B9U1f0f:="U1F8F"
CRCU1F8F.=" SCompU03B9U1f0f"
-CDU0000U0342U1f09:="U1F8F"
-CRCU1F8F.=" U0000U0342U1f09"
-CDU0000TtldeU1f09:="U1F8F"
-CRCU1F8F.=" U0000TtldeU1f09"
-CDU0000SCompU007EU1f09:="U1F8F"
-CRCU1F8F.=" U0000SCompU007EU1f09"
+CDTiotaU0342U1f09:="U1F8F"
+CRCU1F8F.=" TiotaU0342U1f09"
+CDTiotaTtldeU1f09:="U1F8F"
+CRCU1F8F.=" TiotaTtldeU1f09"
+CDTiotaSCompU007EU1f09:="U1F8F"
+CRCU1F8F.=" TiotaSCompU007EU1f09"
CDSCompU03B9U0342U1f09:="U1F8F"
CRCU1F8F.=" SCompU03B9U0342U1f09"
CDSCompU03B9TtldeU1f09:="U1F8F"
CRCU1F8F.=" SCompU03B9TtldeU1f09"
CDSCompU03B9U007EU1f09:="U1F8F"
CRCU1F8F.=" SCompU03B9U007EU1f09"
-CDU0000U0342U0314U0391:="U1F8F"
-CRCU1F8F.=" U0000U0342U0314U0391"
-CDU0000U0342SCompU0028U0391:="U1F8F"
-CRCU1F8F.=" U0000U0342SCompU0028U0391"
-CDU0000TtldeU0314U0391:="U1F8F"
-CRCU1F8F.=" U0000TtldeU0314U0391"
-CDU0000TtldeSCompU0028U0391:="U1F8F"
-CRCU1F8F.=" U0000TtldeSCompU0028U0391"
-CDU0000SCompU007EU0314U0391:="U1F8F"
-CRCU1F8F.=" U0000SCompU007EU0314U0391"
-CDU0000SCompU007EU0028U0391:="U1F8F"
-CRCU1F8F.=" U0000SCompU007EU0028U0391"
+CDTiotaU0342U0314U0391:="U1F8F"
+CRCU1F8F.=" TiotaU0342U0314U0391"
+CDTiotaU0342SCompU0028U0391:="U1F8F"
+CRCU1F8F.=" TiotaU0342SCompU0028U0391"
+CDTiotaTtldeU0314U0391:="U1F8F"
+CRCU1F8F.=" TiotaTtldeU0314U0391"
+CDTiotaTtldeSCompU0028U0391:="U1F8F"
+CRCU1F8F.=" TiotaTtldeSCompU0028U0391"
+CDTiotaSCompU007EU0314U0391:="U1F8F"
+CRCU1F8F.=" TiotaSCompU007EU0314U0391"
+CDTiotaSCompU007EU0028U0391:="U1F8F"
+CRCU1F8F.=" TiotaSCompU007EU0028U0391"
CDSCompU03B9U0342U0314U0391:="U1F8F"
CRCU1F8F.=" SCompU03B9U0342U0314U0391"
CDSCompU03B9U0342U0028U0391:="U1F8F"
@@ -8619,50 +8698,50 @@ CDSCompU03B9U007EU0314U0391:="U1F8F"
CRCU1F8F.=" SCompU03B9U007EU0314U0391"
CDSCompU03B9U007EU0028U0391:="U1F8F"
CRCU1F8F.=" SCompU03B9U007EU0028U0391"
-CDU0000U1f20:="U1F90"
-CRCU1F90.=" U0000U1f20"
+CDTiotaU1f20:="U1F90"
+CRCU1F90.=" TiotaU1f20"
CDSCompU03B9U1f20:="U1F90"
CRCU1F90.=" SCompU03B9U1f20"
-CDU0000U0313U03B7:="U1F90"
-CRCU1F90.=" U0000U0313U03B7"
-CDU0000SCompU0029U03B7:="U1F90"
-CRCU1F90.=" U0000SCompU0029U03B7"
+CDTiotaU0313U03B7:="U1F90"
+CRCU1F90.=" TiotaU0313U03B7"
+CDTiotaSCompU0029U03B7:="U1F90"
+CRCU1F90.=" TiotaSCompU0029U03B7"
CDSCompU03B9U0313U03B7:="U1F90"
CRCU1F90.=" SCompU03B9U0313U03B7"
CDSCompU03B9U0029U03B7:="U1F90"
CRCU1F90.=" SCompU03B9U0029U03B7"
-CDU0000U1f21:="U1F91"
-CRCU1F91.=" U0000U1f21"
+CDTiotaU1f21:="U1F91"
+CRCU1F91.=" TiotaU1f21"
CDSCompU03B9U1f21:="U1F91"
CRCU1F91.=" SCompU03B9U1f21"
-CDU0000U0314U03B7:="U1F91"
-CRCU1F91.=" U0000U0314U03B7"
-CDU0000SCompU0028U03B7:="U1F91"
-CRCU1F91.=" U0000SCompU0028U03B7"
+CDTiotaU0314U03B7:="U1F91"
+CRCU1F91.=" TiotaU0314U03B7"
+CDTiotaSCompU0028U03B7:="U1F91"
+CRCU1F91.=" TiotaSCompU0028U03B7"
CDSCompU03B9U0314U03B7:="U1F91"
CRCU1F91.=" SCompU03B9U0314U03B7"
CDSCompU03B9U0028U03B7:="U1F91"
CRCU1F91.=" SCompU03B9U0028U03B7"
-CDU0000U1f22:="U1F92"
-CRCU1F92.=" U0000U1f22"
+CDTiotaU1f22:="U1F92"
+CRCU1F92.=" TiotaU1f22"
CDSCompU03B9U1f22:="U1F92"
CRCU1F92.=" SCompU03B9U1f22"
-CDU0000TgravU1f20:="U1F92"
-CRCU1F92.=" U0000TgravU1f20"
-CDU0000SCompU0060U1f20:="U1F92"
-CRCU1F92.=" U0000SCompU0060U1f20"
+CDTiotaTgravU1f20:="U1F92"
+CRCU1F92.=" TiotaTgravU1f20"
+CDTiotaSCompU0060U1f20:="U1F92"
+CRCU1F92.=" TiotaSCompU0060U1f20"
CDSCompU03B9TgravU1f20:="U1F92"
CRCU1F92.=" SCompU03B9TgravU1f20"
CDSCompU03B9U0060U1f20:="U1F92"
CRCU1F92.=" SCompU03B9U0060U1f20"
-CDU0000TgravU0313U03B7:="U1F92"
-CRCU1F92.=" U0000TgravU0313U03B7"
-CDU0000TgravSCompU0029U03B7:="U1F92"
-CRCU1F92.=" U0000TgravSCompU0029U03B7"
-CDU0000SCompU0060U0313U03B7:="U1F92"
-CRCU1F92.=" U0000SCompU0060U0313U03B7"
-CDU0000SCompU0060U0029U03B7:="U1F92"
-CRCU1F92.=" U0000SCompU0060U0029U03B7"
+CDTiotaTgravU0313U03B7:="U1F92"
+CRCU1F92.=" TiotaTgravU0313U03B7"
+CDTiotaTgravSCompU0029U03B7:="U1F92"
+CRCU1F92.=" TiotaTgravSCompU0029U03B7"
+CDTiotaSCompU0060U0313U03B7:="U1F92"
+CRCU1F92.=" TiotaSCompU0060U0313U03B7"
+CDTiotaSCompU0060U0029U03B7:="U1F92"
+CRCU1F92.=" TiotaSCompU0060U0029U03B7"
CDSCompU03B9TgravU0313U03B7:="U1F92"
CRCU1F92.=" SCompU03B9TgravU0313U03B7"
CDSCompU03B9TgravU0029U03B7:="U1F92"
@@ -8671,38 +8750,38 @@ CDSCompU03B9U0060U0313U03B7:="U1F92"
CRCU1F92.=" SCompU03B9U0060U0313U03B7"
CDSCompU03B9U0060U0029U03B7:="U1F92"
CRCU1F92.=" SCompU03B9U0060U0029U03B7"
-CDU0000U0000U1f20:="U1F92"
-CRCU1F92.=" U0000U0000U1f20"
-CDSCompU03B9U0000U1f20:="U1F92"
-CRCU1F92.=" SCompU03B9U0000U1f20"
-CDU0000U0000U0313U03B7:="U1F92"
-CRCU1F92.=" U0000U0000U0313U03B7"
-CDU0000U0000SCompU0029U03B7:="U1F92"
-CRCU1F92.=" U0000U0000SCompU0029U03B7"
-CDSCompU03B9U0000U0313U03B7:="U1F92"
-CRCU1F92.=" SCompU03B9U0000U0313U03B7"
-CDSCompU03B9U0000U0029U03B7:="U1F92"
-CRCU1F92.=" SCompU03B9U0000U0029U03B7"
-CDU0000U1f23:="U1F93"
-CRCU1F93.=" U0000U1f23"
+CDTiotaTCgrvU1f20:="U1F92"
+CRCU1F92.=" TiotaTCgrvU1f20"
+CDSCompU03B9TCgrvU1f20:="U1F92"
+CRCU1F92.=" SCompU03B9TCgrvU1f20"
+CDTiotaTCgrvU0313U03B7:="U1F92"
+CRCU1F92.=" TiotaTCgrvU0313U03B7"
+CDTiotaTCgrvSCompU0029U03B7:="U1F92"
+CRCU1F92.=" TiotaTCgrvSCompU0029U03B7"
+CDSCompU03B9TCgrvU0313U03B7:="U1F92"
+CRCU1F92.=" SCompU03B9TCgrvU0313U03B7"
+CDSCompU03B9TCgrvU0029U03B7:="U1F92"
+CRCU1F92.=" SCompU03B9TCgrvU0029U03B7"
+CDTiotaU1f23:="U1F93"
+CRCU1F93.=" TiotaU1f23"
CDSCompU03B9U1f23:="U1F93"
CRCU1F93.=" SCompU03B9U1f23"
-CDU0000TgravU1f21:="U1F93"
-CRCU1F93.=" U0000TgravU1f21"
-CDU0000SCompU0060U1f21:="U1F93"
-CRCU1F93.=" U0000SCompU0060U1f21"
+CDTiotaTgravU1f21:="U1F93"
+CRCU1F93.=" TiotaTgravU1f21"
+CDTiotaSCompU0060U1f21:="U1F93"
+CRCU1F93.=" TiotaSCompU0060U1f21"
CDSCompU03B9TgravU1f21:="U1F93"
CRCU1F93.=" SCompU03B9TgravU1f21"
CDSCompU03B9U0060U1f21:="U1F93"
CRCU1F93.=" SCompU03B9U0060U1f21"
-CDU0000TgravU0314U03B7:="U1F93"
-CRCU1F93.=" U0000TgravU0314U03B7"
-CDU0000TgravSCompU0028U03B7:="U1F93"
-CRCU1F93.=" U0000TgravSCompU0028U03B7"
-CDU0000SCompU0060U0314U03B7:="U1F93"
-CRCU1F93.=" U0000SCompU0060U0314U03B7"
-CDU0000SCompU0060U0028U03B7:="U1F93"
-CRCU1F93.=" U0000SCompU0060U0028U03B7"
+CDTiotaTgravU0314U03B7:="U1F93"
+CRCU1F93.=" TiotaTgravU0314U03B7"
+CDTiotaTgravSCompU0028U03B7:="U1F93"
+CRCU1F93.=" TiotaTgravSCompU0028U03B7"
+CDTiotaSCompU0060U0314U03B7:="U1F93"
+CRCU1F93.=" TiotaSCompU0060U0314U03B7"
+CDTiotaSCompU0060U0028U03B7:="U1F93"
+CRCU1F93.=" TiotaSCompU0060U0028U03B7"
CDSCompU03B9TgravU0314U03B7:="U1F93"
CRCU1F93.=" SCompU03B9TgravU0314U03B7"
CDSCompU03B9TgravU0028U03B7:="U1F93"
@@ -8711,46 +8790,46 @@ CDSCompU03B9U0060U0314U03B7:="U1F93"
CRCU1F93.=" SCompU03B9U0060U0314U03B7"
CDSCompU03B9U0060U0028U03B7:="U1F93"
CRCU1F93.=" SCompU03B9U0060U0028U03B7"
-CDU0000U0000U1f21:="U1F93"
-CRCU1F93.=" U0000U0000U1f21"
-CDSCompU03B9U0000U1f21:="U1F93"
-CRCU1F93.=" SCompU03B9U0000U1f21"
-CDU0000U0000U0314U03B7:="U1F93"
-CRCU1F93.=" U0000U0000U0314U03B7"
-CDU0000U0000SCompU0028U03B7:="U1F93"
-CRCU1F93.=" U0000U0000SCompU0028U03B7"
-CDSCompU03B9U0000U0314U03B7:="U1F93"
-CRCU1F93.=" SCompU03B9U0000U0314U03B7"
-CDSCompU03B9U0000U0028U03B7:="U1F93"
-CRCU1F93.=" SCompU03B9U0000U0028U03B7"
-CDU0000U1f24:="U1F94"
-CRCU1F94.=" U0000U1f24"
+CDTiotaTCgrvU1f21:="U1F93"
+CRCU1F93.=" TiotaTCgrvU1f21"
+CDSCompU03B9TCgrvU1f21:="U1F93"
+CRCU1F93.=" SCompU03B9TCgrvU1f21"
+CDTiotaTCgrvU0314U03B7:="U1F93"
+CRCU1F93.=" TiotaTCgrvU0314U03B7"
+CDTiotaTCgrvSCompU0028U03B7:="U1F93"
+CRCU1F93.=" TiotaTCgrvSCompU0028U03B7"
+CDSCompU03B9TCgrvU0314U03B7:="U1F93"
+CRCU1F93.=" SCompU03B9TCgrvU0314U03B7"
+CDSCompU03B9TCgrvU0028U03B7:="U1F93"
+CRCU1F93.=" SCompU03B9TCgrvU0028U03B7"
+CDTiotaU1f24:="U1F94"
+CRCU1F94.=" TiotaU1f24"
CDSCompU03B9U1f24:="U1F94"
CRCU1F94.=" SCompU03B9U1f24"
-CDU0000TacutU1f20:="U1F94"
-CRCU1F94.=" U0000TacutU1f20"
-CDU0000SCompU00B4U1f20:="U1F94"
-CRCU1F94.=" U0000SCompU00B4U1f20"
-CDU0000SCompU0027U1f20:="U1F94"
-CRCU1F94.=" U0000SCompU0027U1f20"
+CDTiotaTacutU1f20:="U1F94"
+CRCU1F94.=" TiotaTacutU1f20"
+CDTiotaSCompU00B4U1f20:="U1F94"
+CRCU1F94.=" TiotaSCompU00B4U1f20"
+CDTiotaSCompU0027U1f20:="U1F94"
+CRCU1F94.=" TiotaSCompU0027U1f20"
CDSCompU03B9TacutU1f20:="U1F94"
CRCU1F94.=" SCompU03B9TacutU1f20"
CDSCompU03B9U00B4U1f20:="U1F94"
CRCU1F94.=" SCompU03B9U00B4U1f20"
CDSCompU03B9U0027U1f20:="U1F94"
CRCU1F94.=" SCompU03B9U0027U1f20"
-CDU0000TacutU0313U03B7:="U1F94"
-CRCU1F94.=" U0000TacutU0313U03B7"
-CDU0000TacutSCompU0029U03B7:="U1F94"
-CRCU1F94.=" U0000TacutSCompU0029U03B7"
-CDU0000SCompU00B4U0313U03B7:="U1F94"
-CRCU1F94.=" U0000SCompU00B4U0313U03B7"
-CDU0000SCompU00B4U0029U03B7:="U1F94"
-CRCU1F94.=" U0000SCompU00B4U0029U03B7"
-CDU0000SCompU0027U0313U03B7:="U1F94"
-CRCU1F94.=" U0000SCompU0027U0313U03B7"
-CDU0000SCompU0027U0029U03B7:="U1F94"
-CRCU1F94.=" U0000SCompU0027U0029U03B7"
+CDTiotaTacutU0313U03B7:="U1F94"
+CRCU1F94.=" TiotaTacutU0313U03B7"
+CDTiotaTacutSCompU0029U03B7:="U1F94"
+CRCU1F94.=" TiotaTacutSCompU0029U03B7"
+CDTiotaSCompU00B4U0313U03B7:="U1F94"
+CRCU1F94.=" TiotaSCompU00B4U0313U03B7"
+CDTiotaSCompU00B4U0029U03B7:="U1F94"
+CRCU1F94.=" TiotaSCompU00B4U0029U03B7"
+CDTiotaSCompU0027U0313U03B7:="U1F94"
+CRCU1F94.=" TiotaSCompU0027U0313U03B7"
+CDTiotaSCompU0027U0029U03B7:="U1F94"
+CRCU1F94.=" TiotaSCompU0027U0029U03B7"
CDSCompU03B9TacutU0313U03B7:="U1F94"
CRCU1F94.=" SCompU03B9TacutU0313U03B7"
CDSCompU03B9TacutU0029U03B7:="U1F94"
@@ -8763,46 +8842,46 @@ CDSCompU03B9U0027U0313U03B7:="U1F94"
CRCU1F94.=" SCompU03B9U0027U0313U03B7"
CDSCompU03B9U0027U0029U03B7:="U1F94"
CRCU1F94.=" SCompU03B9U0027U0029U03B7"
-CDU0000U0000U1f20:="U1F94"
-CRCU1F94.=" U0000U0000U1f20"
-CDSCompU03B9U0000U1f20:="U1F94"
-CRCU1F94.=" SCompU03B9U0000U1f20"
-CDU0000U0000U0313U03B7:="U1F94"
-CRCU1F94.=" U0000U0000U0313U03B7"
-CDU0000U0000SCompU0029U03B7:="U1F94"
-CRCU1F94.=" U0000U0000SCompU0029U03B7"
-CDSCompU03B9U0000U0313U03B7:="U1F94"
-CRCU1F94.=" SCompU03B9U0000U0313U03B7"
-CDSCompU03B9U0000U0029U03B7:="U1F94"
-CRCU1F94.=" SCompU03B9U0000U0029U03B7"
-CDU0000U1f25:="U1F95"
-CRCU1F95.=" U0000U1f25"
+CDTiotaTCactU1f20:="U1F94"
+CRCU1F94.=" TiotaTCactU1f20"
+CDSCompU03B9TCactU1f20:="U1F94"
+CRCU1F94.=" SCompU03B9TCactU1f20"
+CDTiotaTCactU0313U03B7:="U1F94"
+CRCU1F94.=" TiotaTCactU0313U03B7"
+CDTiotaTCactSCompU0029U03B7:="U1F94"
+CRCU1F94.=" TiotaTCactSCompU0029U03B7"
+CDSCompU03B9TCactU0313U03B7:="U1F94"
+CRCU1F94.=" SCompU03B9TCactU0313U03B7"
+CDSCompU03B9TCactU0029U03B7:="U1F94"
+CRCU1F94.=" SCompU03B9TCactU0029U03B7"
+CDTiotaU1f25:="U1F95"
+CRCU1F95.=" TiotaU1f25"
CDSCompU03B9U1f25:="U1F95"
CRCU1F95.=" SCompU03B9U1f25"
-CDU0000TacutU1f21:="U1F95"
-CRCU1F95.=" U0000TacutU1f21"
-CDU0000SCompU00B4U1f21:="U1F95"
-CRCU1F95.=" U0000SCompU00B4U1f21"
-CDU0000SCompU0027U1f21:="U1F95"
-CRCU1F95.=" U0000SCompU0027U1f21"
+CDTiotaTacutU1f21:="U1F95"
+CRCU1F95.=" TiotaTacutU1f21"
+CDTiotaSCompU00B4U1f21:="U1F95"
+CRCU1F95.=" TiotaSCompU00B4U1f21"
+CDTiotaSCompU0027U1f21:="U1F95"
+CRCU1F95.=" TiotaSCompU0027U1f21"
CDSCompU03B9TacutU1f21:="U1F95"
CRCU1F95.=" SCompU03B9TacutU1f21"
CDSCompU03B9U00B4U1f21:="U1F95"
CRCU1F95.=" SCompU03B9U00B4U1f21"
CDSCompU03B9U0027U1f21:="U1F95"
CRCU1F95.=" SCompU03B9U0027U1f21"
-CDU0000TacutU0314U03B7:="U1F95"
-CRCU1F95.=" U0000TacutU0314U03B7"
-CDU0000TacutSCompU0028U03B7:="U1F95"
-CRCU1F95.=" U0000TacutSCompU0028U03B7"
-CDU0000SCompU00B4U0314U03B7:="U1F95"
-CRCU1F95.=" U0000SCompU00B4U0314U03B7"
-CDU0000SCompU00B4U0028U03B7:="U1F95"
-CRCU1F95.=" U0000SCompU00B4U0028U03B7"
-CDU0000SCompU0027U0314U03B7:="U1F95"
-CRCU1F95.=" U0000SCompU0027U0314U03B7"
-CDU0000SCompU0027U0028U03B7:="U1F95"
-CRCU1F95.=" U0000SCompU0027U0028U03B7"
+CDTiotaTacutU0314U03B7:="U1F95"
+CRCU1F95.=" TiotaTacutU0314U03B7"
+CDTiotaTacutSCompU0028U03B7:="U1F95"
+CRCU1F95.=" TiotaTacutSCompU0028U03B7"
+CDTiotaSCompU00B4U0314U03B7:="U1F95"
+CRCU1F95.=" TiotaSCompU00B4U0314U03B7"
+CDTiotaSCompU00B4U0028U03B7:="U1F95"
+CRCU1F95.=" TiotaSCompU00B4U0028U03B7"
+CDTiotaSCompU0027U0314U03B7:="U1F95"
+CRCU1F95.=" TiotaSCompU0027U0314U03B7"
+CDTiotaSCompU0027U0028U03B7:="U1F95"
+CRCU1F95.=" TiotaSCompU0027U0028U03B7"
CDSCompU03B9TacutU0314U03B7:="U1F95"
CRCU1F95.=" SCompU03B9TacutU0314U03B7"
CDSCompU03B9TacutU0028U03B7:="U1F95"
@@ -8815,46 +8894,46 @@ CDSCompU03B9U0027U0314U03B7:="U1F95"
CRCU1F95.=" SCompU03B9U0027U0314U03B7"
CDSCompU03B9U0027U0028U03B7:="U1F95"
CRCU1F95.=" SCompU03B9U0027U0028U03B7"
-CDU0000U0000U1f21:="U1F95"
-CRCU1F95.=" U0000U0000U1f21"
-CDSCompU03B9U0000U1f21:="U1F95"
-CRCU1F95.=" SCompU03B9U0000U1f21"
-CDU0000U0000U0314U03B7:="U1F95"
-CRCU1F95.=" U0000U0000U0314U03B7"
-CDU0000U0000SCompU0028U03B7:="U1F95"
-CRCU1F95.=" U0000U0000SCompU0028U03B7"
-CDSCompU03B9U0000U0314U03B7:="U1F95"
-CRCU1F95.=" SCompU03B9U0000U0314U03B7"
-CDSCompU03B9U0000U0028U03B7:="U1F95"
-CRCU1F95.=" SCompU03B9U0000U0028U03B7"
-CDU0000U1f26:="U1F96"
-CRCU1F96.=" U0000U1f26"
+CDTiotaTCactU1f21:="U1F95"
+CRCU1F95.=" TiotaTCactU1f21"
+CDSCompU03B9TCactU1f21:="U1F95"
+CRCU1F95.=" SCompU03B9TCactU1f21"
+CDTiotaTCactU0314U03B7:="U1F95"
+CRCU1F95.=" TiotaTCactU0314U03B7"
+CDTiotaTCactSCompU0028U03B7:="U1F95"
+CRCU1F95.=" TiotaTCactSCompU0028U03B7"
+CDSCompU03B9TCactU0314U03B7:="U1F95"
+CRCU1F95.=" SCompU03B9TCactU0314U03B7"
+CDSCompU03B9TCactU0028U03B7:="U1F95"
+CRCU1F95.=" SCompU03B9TCactU0028U03B7"
+CDTiotaU1f26:="U1F96"
+CRCU1F96.=" TiotaU1f26"
CDSCompU03B9U1f26:="U1F96"
CRCU1F96.=" SCompU03B9U1f26"
-CDU0000U0342U1f20:="U1F96"
-CRCU1F96.=" U0000U0342U1f20"
-CDU0000TtldeU1f20:="U1F96"
-CRCU1F96.=" U0000TtldeU1f20"
-CDU0000SCompU007EU1f20:="U1F96"
-CRCU1F96.=" U0000SCompU007EU1f20"
+CDTiotaU0342U1f20:="U1F96"
+CRCU1F96.=" TiotaU0342U1f20"
+CDTiotaTtldeU1f20:="U1F96"
+CRCU1F96.=" TiotaTtldeU1f20"
+CDTiotaSCompU007EU1f20:="U1F96"
+CRCU1F96.=" TiotaSCompU007EU1f20"
CDSCompU03B9U0342U1f20:="U1F96"
CRCU1F96.=" SCompU03B9U0342U1f20"
CDSCompU03B9TtldeU1f20:="U1F96"
CRCU1F96.=" SCompU03B9TtldeU1f20"
CDSCompU03B9U007EU1f20:="U1F96"
CRCU1F96.=" SCompU03B9U007EU1f20"
-CDU0000U0342U0313U03B7:="U1F96"
-CRCU1F96.=" U0000U0342U0313U03B7"
-CDU0000U0342SCompU0029U03B7:="U1F96"
-CRCU1F96.=" U0000U0342SCompU0029U03B7"
-CDU0000TtldeU0313U03B7:="U1F96"
-CRCU1F96.=" U0000TtldeU0313U03B7"
-CDU0000TtldeSCompU0029U03B7:="U1F96"
-CRCU1F96.=" U0000TtldeSCompU0029U03B7"
-CDU0000SCompU007EU0313U03B7:="U1F96"
-CRCU1F96.=" U0000SCompU007EU0313U03B7"
-CDU0000SCompU007EU0029U03B7:="U1F96"
-CRCU1F96.=" U0000SCompU007EU0029U03B7"
+CDTiotaU0342U0313U03B7:="U1F96"
+CRCU1F96.=" TiotaU0342U0313U03B7"
+CDTiotaU0342SCompU0029U03B7:="U1F96"
+CRCU1F96.=" TiotaU0342SCompU0029U03B7"
+CDTiotaTtldeU0313U03B7:="U1F96"
+CRCU1F96.=" TiotaTtldeU0313U03B7"
+CDTiotaTtldeSCompU0029U03B7:="U1F96"
+CRCU1F96.=" TiotaTtldeSCompU0029U03B7"
+CDTiotaSCompU007EU0313U03B7:="U1F96"
+CRCU1F96.=" TiotaSCompU007EU0313U03B7"
+CDTiotaSCompU007EU0029U03B7:="U1F96"
+CRCU1F96.=" TiotaSCompU007EU0029U03B7"
CDSCompU03B9U0342U0313U03B7:="U1F96"
CRCU1F96.=" SCompU03B9U0342U0313U03B7"
CDSCompU03B9U0342U0029U03B7:="U1F96"
@@ -8867,34 +8946,34 @@ CDSCompU03B9U007EU0313U03B7:="U1F96"
CRCU1F96.=" SCompU03B9U007EU0313U03B7"
CDSCompU03B9U007EU0029U03B7:="U1F96"
CRCU1F96.=" SCompU03B9U007EU0029U03B7"
-CDU0000U1f27:="U1F97"
-CRCU1F97.=" U0000U1f27"
+CDTiotaU1f27:="U1F97"
+CRCU1F97.=" TiotaU1f27"
CDSCompU03B9U1f27:="U1F97"
CRCU1F97.=" SCompU03B9U1f27"
-CDU0000U0342U1f21:="U1F97"
-CRCU1F97.=" U0000U0342U1f21"
-CDU0000TtldeU1f21:="U1F97"
-CRCU1F97.=" U0000TtldeU1f21"
-CDU0000SCompU007EU1f21:="U1F97"
-CRCU1F97.=" U0000SCompU007EU1f21"
+CDTiotaU0342U1f21:="U1F97"
+CRCU1F97.=" TiotaU0342U1f21"
+CDTiotaTtldeU1f21:="U1F97"
+CRCU1F97.=" TiotaTtldeU1f21"
+CDTiotaSCompU007EU1f21:="U1F97"
+CRCU1F97.=" TiotaSCompU007EU1f21"
CDSCompU03B9U0342U1f21:="U1F97"
CRCU1F97.=" SCompU03B9U0342U1f21"
CDSCompU03B9TtldeU1f21:="U1F97"
CRCU1F97.=" SCompU03B9TtldeU1f21"
CDSCompU03B9U007EU1f21:="U1F97"
CRCU1F97.=" SCompU03B9U007EU1f21"
-CDU0000U0342U0314U03B7:="U1F97"
-CRCU1F97.=" U0000U0342U0314U03B7"
-CDU0000U0342SCompU0028U03B7:="U1F97"
-CRCU1F97.=" U0000U0342SCompU0028U03B7"
-CDU0000TtldeU0314U03B7:="U1F97"
-CRCU1F97.=" U0000TtldeU0314U03B7"
-CDU0000TtldeSCompU0028U03B7:="U1F97"
-CRCU1F97.=" U0000TtldeSCompU0028U03B7"
-CDU0000SCompU007EU0314U03B7:="U1F97"
-CRCU1F97.=" U0000SCompU007EU0314U03B7"
-CDU0000SCompU007EU0028U03B7:="U1F97"
-CRCU1F97.=" U0000SCompU007EU0028U03B7"
+CDTiotaU0342U0314U03B7:="U1F97"
+CRCU1F97.=" TiotaU0342U0314U03B7"
+CDTiotaU0342SCompU0028U03B7:="U1F97"
+CRCU1F97.=" TiotaU0342SCompU0028U03B7"
+CDTiotaTtldeU0314U03B7:="U1F97"
+CRCU1F97.=" TiotaTtldeU0314U03B7"
+CDTiotaTtldeSCompU0028U03B7:="U1F97"
+CRCU1F97.=" TiotaTtldeSCompU0028U03B7"
+CDTiotaSCompU007EU0314U03B7:="U1F97"
+CRCU1F97.=" TiotaSCompU007EU0314U03B7"
+CDTiotaSCompU007EU0028U03B7:="U1F97"
+CRCU1F97.=" TiotaSCompU007EU0028U03B7"
CDSCompU03B9U0342U0314U03B7:="U1F97"
CRCU1F97.=" SCompU03B9U0342U0314U03B7"
CDSCompU03B9U0342U0028U03B7:="U1F97"
@@ -8907,50 +8986,50 @@ CDSCompU03B9U007EU0314U03B7:="U1F97"
CRCU1F97.=" SCompU03B9U007EU0314U03B7"
CDSCompU03B9U007EU0028U03B7:="U1F97"
CRCU1F97.=" SCompU03B9U007EU0028U03B7"
-CDU0000U1f28:="U1F98"
-CRCU1F98.=" U0000U1f28"
+CDTiotaU1f28:="U1F98"
+CRCU1F98.=" TiotaU1f28"
CDSCompU03B9U1f28:="U1F98"
CRCU1F98.=" SCompU03B9U1f28"
-CDU0000U0313U0397:="U1F98"
-CRCU1F98.=" U0000U0313U0397"
-CDU0000SCompU0029U0397:="U1F98"
-CRCU1F98.=" U0000SCompU0029U0397"
+CDTiotaU0313U0397:="U1F98"
+CRCU1F98.=" TiotaU0313U0397"
+CDTiotaSCompU0029U0397:="U1F98"
+CRCU1F98.=" TiotaSCompU0029U0397"
CDSCompU03B9U0313U0397:="U1F98"
CRCU1F98.=" SCompU03B9U0313U0397"
CDSCompU03B9U0029U0397:="U1F98"
CRCU1F98.=" SCompU03B9U0029U0397"
-CDU0000U1f29:="U1F99"
-CRCU1F99.=" U0000U1f29"
+CDTiotaU1f29:="U1F99"
+CRCU1F99.=" TiotaU1f29"
CDSCompU03B9U1f29:="U1F99"
CRCU1F99.=" SCompU03B9U1f29"
-CDU0000U0314U0397:="U1F99"
-CRCU1F99.=" U0000U0314U0397"
-CDU0000SCompU0028U0397:="U1F99"
-CRCU1F99.=" U0000SCompU0028U0397"
+CDTiotaU0314U0397:="U1F99"
+CRCU1F99.=" TiotaU0314U0397"
+CDTiotaSCompU0028U0397:="U1F99"
+CRCU1F99.=" TiotaSCompU0028U0397"
CDSCompU03B9U0314U0397:="U1F99"
CRCU1F99.=" SCompU03B9U0314U0397"
CDSCompU03B9U0028U0397:="U1F99"
CRCU1F99.=" SCompU03B9U0028U0397"
-CDU0000U1f2a:="U1F9A"
-CRCU1F9A.=" U0000U1f2a"
+CDTiotaU1f2a:="U1F9A"
+CRCU1F9A.=" TiotaU1f2a"
CDSCompU03B9U1f2a:="U1F9A"
CRCU1F9A.=" SCompU03B9U1f2a"
-CDU0000TgravU1f28:="U1F9A"
-CRCU1F9A.=" U0000TgravU1f28"
-CDU0000SCompU0060U1f28:="U1F9A"
-CRCU1F9A.=" U0000SCompU0060U1f28"
+CDTiotaTgravU1f28:="U1F9A"
+CRCU1F9A.=" TiotaTgravU1f28"
+CDTiotaSCompU0060U1f28:="U1F9A"
+CRCU1F9A.=" TiotaSCompU0060U1f28"
CDSCompU03B9TgravU1f28:="U1F9A"
CRCU1F9A.=" SCompU03B9TgravU1f28"
CDSCompU03B9U0060U1f28:="U1F9A"
CRCU1F9A.=" SCompU03B9U0060U1f28"
-CDU0000TgravU0313U0397:="U1F9A"
-CRCU1F9A.=" U0000TgravU0313U0397"
-CDU0000TgravSCompU0029U0397:="U1F9A"
-CRCU1F9A.=" U0000TgravSCompU0029U0397"
-CDU0000SCompU0060U0313U0397:="U1F9A"
-CRCU1F9A.=" U0000SCompU0060U0313U0397"
-CDU0000SCompU0060U0029U0397:="U1F9A"
-CRCU1F9A.=" U0000SCompU0060U0029U0397"
+CDTiotaTgravU0313U0397:="U1F9A"
+CRCU1F9A.=" TiotaTgravU0313U0397"
+CDTiotaTgravSCompU0029U0397:="U1F9A"
+CRCU1F9A.=" TiotaTgravSCompU0029U0397"
+CDTiotaSCompU0060U0313U0397:="U1F9A"
+CRCU1F9A.=" TiotaSCompU0060U0313U0397"
+CDTiotaSCompU0060U0029U0397:="U1F9A"
+CRCU1F9A.=" TiotaSCompU0060U0029U0397"
CDSCompU03B9TgravU0313U0397:="U1F9A"
CRCU1F9A.=" SCompU03B9TgravU0313U0397"
CDSCompU03B9TgravU0029U0397:="U1F9A"
@@ -8959,38 +9038,38 @@ CDSCompU03B9U0060U0313U0397:="U1F9A"
CRCU1F9A.=" SCompU03B9U0060U0313U0397"
CDSCompU03B9U0060U0029U0397:="U1F9A"
CRCU1F9A.=" SCompU03B9U0060U0029U0397"
-CDU0000U0000U1f28:="U1F9A"
-CRCU1F9A.=" U0000U0000U1f28"
-CDSCompU03B9U0000U1f28:="U1F9A"
-CRCU1F9A.=" SCompU03B9U0000U1f28"
-CDU0000U0000U0313U0397:="U1F9A"
-CRCU1F9A.=" U0000U0000U0313U0397"
-CDU0000U0000SCompU0029U0397:="U1F9A"
-CRCU1F9A.=" U0000U0000SCompU0029U0397"
-CDSCompU03B9U0000U0313U0397:="U1F9A"
-CRCU1F9A.=" SCompU03B9U0000U0313U0397"
-CDSCompU03B9U0000U0029U0397:="U1F9A"
-CRCU1F9A.=" SCompU03B9U0000U0029U0397"
-CDU0000U1f2b:="U1F9B"
-CRCU1F9B.=" U0000U1f2b"
+CDTiotaTCgrvU1f28:="U1F9A"
+CRCU1F9A.=" TiotaTCgrvU1f28"
+CDSCompU03B9TCgrvU1f28:="U1F9A"
+CRCU1F9A.=" SCompU03B9TCgrvU1f28"
+CDTiotaTCgrvU0313U0397:="U1F9A"
+CRCU1F9A.=" TiotaTCgrvU0313U0397"
+CDTiotaTCgrvSCompU0029U0397:="U1F9A"
+CRCU1F9A.=" TiotaTCgrvSCompU0029U0397"
+CDSCompU03B9TCgrvU0313U0397:="U1F9A"
+CRCU1F9A.=" SCompU03B9TCgrvU0313U0397"
+CDSCompU03B9TCgrvU0029U0397:="U1F9A"
+CRCU1F9A.=" SCompU03B9TCgrvU0029U0397"
+CDTiotaU1f2b:="U1F9B"
+CRCU1F9B.=" TiotaU1f2b"
CDSCompU03B9U1f2b:="U1F9B"
CRCU1F9B.=" SCompU03B9U1f2b"
-CDU0000TgravU1f29:="U1F9B"
-CRCU1F9B.=" U0000TgravU1f29"
-CDU0000SCompU0060U1f29:="U1F9B"
-CRCU1F9B.=" U0000SCompU0060U1f29"
+CDTiotaTgravU1f29:="U1F9B"
+CRCU1F9B.=" TiotaTgravU1f29"
+CDTiotaSCompU0060U1f29:="U1F9B"
+CRCU1F9B.=" TiotaSCompU0060U1f29"
CDSCompU03B9TgravU1f29:="U1F9B"
CRCU1F9B.=" SCompU03B9TgravU1f29"
CDSCompU03B9U0060U1f29:="U1F9B"
CRCU1F9B.=" SCompU03B9U0060U1f29"
-CDU0000TgravU0314U0397:="U1F9B"
-CRCU1F9B.=" U0000TgravU0314U0397"
-CDU0000TgravSCompU0028U0397:="U1F9B"
-CRCU1F9B.=" U0000TgravSCompU0028U0397"
-CDU0000SCompU0060U0314U0397:="U1F9B"
-CRCU1F9B.=" U0000SCompU0060U0314U0397"
-CDU0000SCompU0060U0028U0397:="U1F9B"
-CRCU1F9B.=" U0000SCompU0060U0028U0397"
+CDTiotaTgravU0314U0397:="U1F9B"
+CRCU1F9B.=" TiotaTgravU0314U0397"
+CDTiotaTgravSCompU0028U0397:="U1F9B"
+CRCU1F9B.=" TiotaTgravSCompU0028U0397"
+CDTiotaSCompU0060U0314U0397:="U1F9B"
+CRCU1F9B.=" TiotaSCompU0060U0314U0397"
+CDTiotaSCompU0060U0028U0397:="U1F9B"
+CRCU1F9B.=" TiotaSCompU0060U0028U0397"
CDSCompU03B9TgravU0314U0397:="U1F9B"
CRCU1F9B.=" SCompU03B9TgravU0314U0397"
CDSCompU03B9TgravU0028U0397:="U1F9B"
@@ -8999,46 +9078,46 @@ CDSCompU03B9U0060U0314U0397:="U1F9B"
CRCU1F9B.=" SCompU03B9U0060U0314U0397"
CDSCompU03B9U0060U0028U0397:="U1F9B"
CRCU1F9B.=" SCompU03B9U0060U0028U0397"
-CDU0000U0000U1f29:="U1F9B"
-CRCU1F9B.=" U0000U0000U1f29"
-CDSCompU03B9U0000U1f29:="U1F9B"
-CRCU1F9B.=" SCompU03B9U0000U1f29"
-CDU0000U0000U0314U0397:="U1F9B"
-CRCU1F9B.=" U0000U0000U0314U0397"
-CDU0000U0000SCompU0028U0397:="U1F9B"
-CRCU1F9B.=" U0000U0000SCompU0028U0397"
-CDSCompU03B9U0000U0314U0397:="U1F9B"
-CRCU1F9B.=" SCompU03B9U0000U0314U0397"
-CDSCompU03B9U0000U0028U0397:="U1F9B"
-CRCU1F9B.=" SCompU03B9U0000U0028U0397"
-CDU0000U1f2c:="U1F9C"
-CRCU1F9C.=" U0000U1f2c"
+CDTiotaTCgrvU1f29:="U1F9B"
+CRCU1F9B.=" TiotaTCgrvU1f29"
+CDSCompU03B9TCgrvU1f29:="U1F9B"
+CRCU1F9B.=" SCompU03B9TCgrvU1f29"
+CDTiotaTCgrvU0314U0397:="U1F9B"
+CRCU1F9B.=" TiotaTCgrvU0314U0397"
+CDTiotaTCgrvSCompU0028U0397:="U1F9B"
+CRCU1F9B.=" TiotaTCgrvSCompU0028U0397"
+CDSCompU03B9TCgrvU0314U0397:="U1F9B"
+CRCU1F9B.=" SCompU03B9TCgrvU0314U0397"
+CDSCompU03B9TCgrvU0028U0397:="U1F9B"
+CRCU1F9B.=" SCompU03B9TCgrvU0028U0397"
+CDTiotaU1f2c:="U1F9C"
+CRCU1F9C.=" TiotaU1f2c"
CDSCompU03B9U1f2c:="U1F9C"
CRCU1F9C.=" SCompU03B9U1f2c"
-CDU0000TacutU1f28:="U1F9C"
-CRCU1F9C.=" U0000TacutU1f28"
-CDU0000SCompU00B4U1f28:="U1F9C"
-CRCU1F9C.=" U0000SCompU00B4U1f28"
-CDU0000SCompU0027U1f28:="U1F9C"
-CRCU1F9C.=" U0000SCompU0027U1f28"
+CDTiotaTacutU1f28:="U1F9C"
+CRCU1F9C.=" TiotaTacutU1f28"
+CDTiotaSCompU00B4U1f28:="U1F9C"
+CRCU1F9C.=" TiotaSCompU00B4U1f28"
+CDTiotaSCompU0027U1f28:="U1F9C"
+CRCU1F9C.=" TiotaSCompU0027U1f28"
CDSCompU03B9TacutU1f28:="U1F9C"
CRCU1F9C.=" SCompU03B9TacutU1f28"
CDSCompU03B9U00B4U1f28:="U1F9C"
CRCU1F9C.=" SCompU03B9U00B4U1f28"
CDSCompU03B9U0027U1f28:="U1F9C"
CRCU1F9C.=" SCompU03B9U0027U1f28"
-CDU0000TacutU0313U0397:="U1F9C"
-CRCU1F9C.=" U0000TacutU0313U0397"
-CDU0000TacutSCompU0029U0397:="U1F9C"
-CRCU1F9C.=" U0000TacutSCompU0029U0397"
-CDU0000SCompU00B4U0313U0397:="U1F9C"
-CRCU1F9C.=" U0000SCompU00B4U0313U0397"
-CDU0000SCompU00B4U0029U0397:="U1F9C"
-CRCU1F9C.=" U0000SCompU00B4U0029U0397"
-CDU0000SCompU0027U0313U0397:="U1F9C"
-CRCU1F9C.=" U0000SCompU0027U0313U0397"
-CDU0000SCompU0027U0029U0397:="U1F9C"
-CRCU1F9C.=" U0000SCompU0027U0029U0397"
+CDTiotaTacutU0313U0397:="U1F9C"
+CRCU1F9C.=" TiotaTacutU0313U0397"
+CDTiotaTacutSCompU0029U0397:="U1F9C"
+CRCU1F9C.=" TiotaTacutSCompU0029U0397"
+CDTiotaSCompU00B4U0313U0397:="U1F9C"
+CRCU1F9C.=" TiotaSCompU00B4U0313U0397"
+CDTiotaSCompU00B4U0029U0397:="U1F9C"
+CRCU1F9C.=" TiotaSCompU00B4U0029U0397"
+CDTiotaSCompU0027U0313U0397:="U1F9C"
+CRCU1F9C.=" TiotaSCompU0027U0313U0397"
+CDTiotaSCompU0027U0029U0397:="U1F9C"
+CRCU1F9C.=" TiotaSCompU0027U0029U0397"
CDSCompU03B9TacutU0313U0397:="U1F9C"
CRCU1F9C.=" SCompU03B9TacutU0313U0397"
CDSCompU03B9TacutU0029U0397:="U1F9C"
@@ -9051,46 +9130,46 @@ CDSCompU03B9U0027U0313U0397:="U1F9C"
CRCU1F9C.=" SCompU03B9U0027U0313U0397"
CDSCompU03B9U0027U0029U0397:="U1F9C"
CRCU1F9C.=" SCompU03B9U0027U0029U0397"
-CDU0000U0000U1f28:="U1F9C"
-CRCU1F9C.=" U0000U0000U1f28"
-CDSCompU03B9U0000U1f28:="U1F9C"
-CRCU1F9C.=" SCompU03B9U0000U1f28"
-CDU0000U0000U0313U0397:="U1F9C"
-CRCU1F9C.=" U0000U0000U0313U0397"
-CDU0000U0000SCompU0029U0397:="U1F9C"
-CRCU1F9C.=" U0000U0000SCompU0029U0397"
-CDSCompU03B9U0000U0313U0397:="U1F9C"
-CRCU1F9C.=" SCompU03B9U0000U0313U0397"
-CDSCompU03B9U0000U0029U0397:="U1F9C"
-CRCU1F9C.=" SCompU03B9U0000U0029U0397"
-CDU0000U1f2d:="U1F9D"
-CRCU1F9D.=" U0000U1f2d"
+CDTiotaTCactU1f28:="U1F9C"
+CRCU1F9C.=" TiotaTCactU1f28"
+CDSCompU03B9TCactU1f28:="U1F9C"
+CRCU1F9C.=" SCompU03B9TCactU1f28"
+CDTiotaTCactU0313U0397:="U1F9C"
+CRCU1F9C.=" TiotaTCactU0313U0397"
+CDTiotaTCactSCompU0029U0397:="U1F9C"
+CRCU1F9C.=" TiotaTCactSCompU0029U0397"
+CDSCompU03B9TCactU0313U0397:="U1F9C"
+CRCU1F9C.=" SCompU03B9TCactU0313U0397"
+CDSCompU03B9TCactU0029U0397:="U1F9C"
+CRCU1F9C.=" SCompU03B9TCactU0029U0397"
+CDTiotaU1f2d:="U1F9D"
+CRCU1F9D.=" TiotaU1f2d"
CDSCompU03B9U1f2d:="U1F9D"
CRCU1F9D.=" SCompU03B9U1f2d"
-CDU0000TacutU1f29:="U1F9D"
-CRCU1F9D.=" U0000TacutU1f29"
-CDU0000SCompU00B4U1f29:="U1F9D"
-CRCU1F9D.=" U0000SCompU00B4U1f29"
-CDU0000SCompU0027U1f29:="U1F9D"
-CRCU1F9D.=" U0000SCompU0027U1f29"
+CDTiotaTacutU1f29:="U1F9D"
+CRCU1F9D.=" TiotaTacutU1f29"
+CDTiotaSCompU00B4U1f29:="U1F9D"
+CRCU1F9D.=" TiotaSCompU00B4U1f29"
+CDTiotaSCompU0027U1f29:="U1F9D"
+CRCU1F9D.=" TiotaSCompU0027U1f29"
CDSCompU03B9TacutU1f29:="U1F9D"
CRCU1F9D.=" SCompU03B9TacutU1f29"
CDSCompU03B9U00B4U1f29:="U1F9D"
CRCU1F9D.=" SCompU03B9U00B4U1f29"
CDSCompU03B9U0027U1f29:="U1F9D"
CRCU1F9D.=" SCompU03B9U0027U1f29"
-CDU0000TacutU0314U0397:="U1F9D"
-CRCU1F9D.=" U0000TacutU0314U0397"
-CDU0000TacutSCompU0028U0397:="U1F9D"
-CRCU1F9D.=" U0000TacutSCompU0028U0397"
-CDU0000SCompU00B4U0314U0397:="U1F9D"
-CRCU1F9D.=" U0000SCompU00B4U0314U0397"
-CDU0000SCompU00B4U0028U0397:="U1F9D"
-CRCU1F9D.=" U0000SCompU00B4U0028U0397"
-CDU0000SCompU0027U0314U0397:="U1F9D"
-CRCU1F9D.=" U0000SCompU0027U0314U0397"
-CDU0000SCompU0027U0028U0397:="U1F9D"
-CRCU1F9D.=" U0000SCompU0027U0028U0397"
+CDTiotaTacutU0314U0397:="U1F9D"
+CRCU1F9D.=" TiotaTacutU0314U0397"
+CDTiotaTacutSCompU0028U0397:="U1F9D"
+CRCU1F9D.=" TiotaTacutSCompU0028U0397"
+CDTiotaSCompU00B4U0314U0397:="U1F9D"
+CRCU1F9D.=" TiotaSCompU00B4U0314U0397"
+CDTiotaSCompU00B4U0028U0397:="U1F9D"
+CRCU1F9D.=" TiotaSCompU00B4U0028U0397"
+CDTiotaSCompU0027U0314U0397:="U1F9D"
+CRCU1F9D.=" TiotaSCompU0027U0314U0397"
+CDTiotaSCompU0027U0028U0397:="U1F9D"
+CRCU1F9D.=" TiotaSCompU0027U0028U0397"
CDSCompU03B9TacutU0314U0397:="U1F9D"
CRCU1F9D.=" SCompU03B9TacutU0314U0397"
CDSCompU03B9TacutU0028U0397:="U1F9D"
@@ -9103,46 +9182,46 @@ CDSCompU03B9U0027U0314U0397:="U1F9D"
CRCU1F9D.=" SCompU03B9U0027U0314U0397"
CDSCompU03B9U0027U0028U0397:="U1F9D"
CRCU1F9D.=" SCompU03B9U0027U0028U0397"
-CDU0000U0000U1f29:="U1F9D"
-CRCU1F9D.=" U0000U0000U1f29"
-CDSCompU03B9U0000U1f29:="U1F9D"
-CRCU1F9D.=" SCompU03B9U0000U1f29"
-CDU0000U0000U0314U0397:="U1F9D"
-CRCU1F9D.=" U0000U0000U0314U0397"
-CDU0000U0000SCompU0028U0397:="U1F9D"
-CRCU1F9D.=" U0000U0000SCompU0028U0397"
-CDSCompU03B9U0000U0314U0397:="U1F9D"
-CRCU1F9D.=" SCompU03B9U0000U0314U0397"
-CDSCompU03B9U0000U0028U0397:="U1F9D"
-CRCU1F9D.=" SCompU03B9U0000U0028U0397"
-CDU0000U1f2e:="U1F9E"
-CRCU1F9E.=" U0000U1f2e"
+CDTiotaTCactU1f29:="U1F9D"
+CRCU1F9D.=" TiotaTCactU1f29"
+CDSCompU03B9TCactU1f29:="U1F9D"
+CRCU1F9D.=" SCompU03B9TCactU1f29"
+CDTiotaTCactU0314U0397:="U1F9D"
+CRCU1F9D.=" TiotaTCactU0314U0397"
+CDTiotaTCactSCompU0028U0397:="U1F9D"
+CRCU1F9D.=" TiotaTCactSCompU0028U0397"
+CDSCompU03B9TCactU0314U0397:="U1F9D"
+CRCU1F9D.=" SCompU03B9TCactU0314U0397"
+CDSCompU03B9TCactU0028U0397:="U1F9D"
+CRCU1F9D.=" SCompU03B9TCactU0028U0397"
+CDTiotaU1f2e:="U1F9E"
+CRCU1F9E.=" TiotaU1f2e"
CDSCompU03B9U1f2e:="U1F9E"
CRCU1F9E.=" SCompU03B9U1f2e"
-CDU0000U0342U1f28:="U1F9E"
-CRCU1F9E.=" U0000U0342U1f28"
-CDU0000TtldeU1f28:="U1F9E"
-CRCU1F9E.=" U0000TtldeU1f28"
-CDU0000SCompU007EU1f28:="U1F9E"
-CRCU1F9E.=" U0000SCompU007EU1f28"
+CDTiotaU0342U1f28:="U1F9E"
+CRCU1F9E.=" TiotaU0342U1f28"
+CDTiotaTtldeU1f28:="U1F9E"
+CRCU1F9E.=" TiotaTtldeU1f28"
+CDTiotaSCompU007EU1f28:="U1F9E"
+CRCU1F9E.=" TiotaSCompU007EU1f28"
CDSCompU03B9U0342U1f28:="U1F9E"
CRCU1F9E.=" SCompU03B9U0342U1f28"
CDSCompU03B9TtldeU1f28:="U1F9E"
CRCU1F9E.=" SCompU03B9TtldeU1f28"
CDSCompU03B9U007EU1f28:="U1F9E"
CRCU1F9E.=" SCompU03B9U007EU1f28"
-CDU0000U0342U0313U0397:="U1F9E"
-CRCU1F9E.=" U0000U0342U0313U0397"
-CDU0000U0342SCompU0029U0397:="U1F9E"
-CRCU1F9E.=" U0000U0342SCompU0029U0397"
-CDU0000TtldeU0313U0397:="U1F9E"
-CRCU1F9E.=" U0000TtldeU0313U0397"
-CDU0000TtldeSCompU0029U0397:="U1F9E"
-CRCU1F9E.=" U0000TtldeSCompU0029U0397"
-CDU0000SCompU007EU0313U0397:="U1F9E"
-CRCU1F9E.=" U0000SCompU007EU0313U0397"
-CDU0000SCompU007EU0029U0397:="U1F9E"
-CRCU1F9E.=" U0000SCompU007EU0029U0397"
+CDTiotaU0342U0313U0397:="U1F9E"
+CRCU1F9E.=" TiotaU0342U0313U0397"
+CDTiotaU0342SCompU0029U0397:="U1F9E"
+CRCU1F9E.=" TiotaU0342SCompU0029U0397"
+CDTiotaTtldeU0313U0397:="U1F9E"
+CRCU1F9E.=" TiotaTtldeU0313U0397"
+CDTiotaTtldeSCompU0029U0397:="U1F9E"
+CRCU1F9E.=" TiotaTtldeSCompU0029U0397"
+CDTiotaSCompU007EU0313U0397:="U1F9E"
+CRCU1F9E.=" TiotaSCompU007EU0313U0397"
+CDTiotaSCompU007EU0029U0397:="U1F9E"
+CRCU1F9E.=" TiotaSCompU007EU0029U0397"
CDSCompU03B9U0342U0313U0397:="U1F9E"
CRCU1F9E.=" SCompU03B9U0342U0313U0397"
CDSCompU03B9U0342U0029U0397:="U1F9E"
@@ -9155,34 +9234,34 @@ CDSCompU03B9U007EU0313U0397:="U1F9E"
CRCU1F9E.=" SCompU03B9U007EU0313U0397"
CDSCompU03B9U007EU0029U0397:="U1F9E"
CRCU1F9E.=" SCompU03B9U007EU0029U0397"
-CDU0000U1f2f:="U1F9F"
-CRCU1F9F.=" U0000U1f2f"
+CDTiotaU1f2f:="U1F9F"
+CRCU1F9F.=" TiotaU1f2f"
CDSCompU03B9U1f2f:="U1F9F"
CRCU1F9F.=" SCompU03B9U1f2f"
-CDU0000U0342U1f29:="U1F9F"
-CRCU1F9F.=" U0000U0342U1f29"
-CDU0000TtldeU1f29:="U1F9F"
-CRCU1F9F.=" U0000TtldeU1f29"
-CDU0000SCompU007EU1f29:="U1F9F"
-CRCU1F9F.=" U0000SCompU007EU1f29"
+CDTiotaU0342U1f29:="U1F9F"
+CRCU1F9F.=" TiotaU0342U1f29"
+CDTiotaTtldeU1f29:="U1F9F"
+CRCU1F9F.=" TiotaTtldeU1f29"
+CDTiotaSCompU007EU1f29:="U1F9F"
+CRCU1F9F.=" TiotaSCompU007EU1f29"
CDSCompU03B9U0342U1f29:="U1F9F"
CRCU1F9F.=" SCompU03B9U0342U1f29"
CDSCompU03B9TtldeU1f29:="U1F9F"
CRCU1F9F.=" SCompU03B9TtldeU1f29"
CDSCompU03B9U007EU1f29:="U1F9F"
CRCU1F9F.=" SCompU03B9U007EU1f29"
-CDU0000U0342U0314U0397:="U1F9F"
-CRCU1F9F.=" U0000U0342U0314U0397"
-CDU0000U0342SCompU0028U0397:="U1F9F"
-CRCU1F9F.=" U0000U0342SCompU0028U0397"
-CDU0000TtldeU0314U0397:="U1F9F"
-CRCU1F9F.=" U0000TtldeU0314U0397"
-CDU0000TtldeSCompU0028U0397:="U1F9F"
-CRCU1F9F.=" U0000TtldeSCompU0028U0397"
-CDU0000SCompU007EU0314U0397:="U1F9F"
-CRCU1F9F.=" U0000SCompU007EU0314U0397"
-CDU0000SCompU007EU0028U0397:="U1F9F"
-CRCU1F9F.=" U0000SCompU007EU0028U0397"
+CDTiotaU0342U0314U0397:="U1F9F"
+CRCU1F9F.=" TiotaU0342U0314U0397"
+CDTiotaU0342SCompU0028U0397:="U1F9F"
+CRCU1F9F.=" TiotaU0342SCompU0028U0397"
+CDTiotaTtldeU0314U0397:="U1F9F"
+CRCU1F9F.=" TiotaTtldeU0314U0397"
+CDTiotaTtldeSCompU0028U0397:="U1F9F"
+CRCU1F9F.=" TiotaTtldeSCompU0028U0397"
+CDTiotaSCompU007EU0314U0397:="U1F9F"
+CRCU1F9F.=" TiotaSCompU007EU0314U0397"
+CDTiotaSCompU007EU0028U0397:="U1F9F"
+CRCU1F9F.=" TiotaSCompU007EU0028U0397"
CDSCompU03B9U0342U0314U0397:="U1F9F"
CRCU1F9F.=" SCompU03B9U0342U0314U0397"
CDSCompU03B9U0342U0028U0397:="U1F9F"
@@ -9195,50 +9274,50 @@ CDSCompU03B9U007EU0314U0397:="U1F9F"
CRCU1F9F.=" SCompU03B9U007EU0314U0397"
CDSCompU03B9U007EU0028U0397:="U1F9F"
CRCU1F9F.=" SCompU03B9U007EU0028U0397"
-CDU0000U1f60:="U1FA0"
-CRCU1FA0.=" U0000U1f60"
+CDTiotaU1f60:="U1FA0"
+CRCU1FA0.=" TiotaU1f60"
CDSCompU03B9U1f60:="U1FA0"
CRCU1FA0.=" SCompU03B9U1f60"
-CDU0000U0313U03C9:="U1FA0"
-CRCU1FA0.=" U0000U0313U03C9"
-CDU0000SCompU0029U03C9:="U1FA0"
-CRCU1FA0.=" U0000SCompU0029U03C9"
+CDTiotaU0313U03C9:="U1FA0"
+CRCU1FA0.=" TiotaU0313U03C9"
+CDTiotaSCompU0029U03C9:="U1FA0"
+CRCU1FA0.=" TiotaSCompU0029U03C9"
CDSCompU03B9U0313U03C9:="U1FA0"
CRCU1FA0.=" SCompU03B9U0313U03C9"
CDSCompU03B9U0029U03C9:="U1FA0"
CRCU1FA0.=" SCompU03B9U0029U03C9"
-CDU0000U1f61:="U1FA1"
-CRCU1FA1.=" U0000U1f61"
+CDTiotaU1f61:="U1FA1"
+CRCU1FA1.=" TiotaU1f61"
CDSCompU03B9U1f61:="U1FA1"
CRCU1FA1.=" SCompU03B9U1f61"
-CDU0000U0314U03C9:="U1FA1"
-CRCU1FA1.=" U0000U0314U03C9"
-CDU0000SCompU0028U03C9:="U1FA1"
-CRCU1FA1.=" U0000SCompU0028U03C9"
+CDTiotaU0314U03C9:="U1FA1"
+CRCU1FA1.=" TiotaU0314U03C9"
+CDTiotaSCompU0028U03C9:="U1FA1"
+CRCU1FA1.=" TiotaSCompU0028U03C9"
CDSCompU03B9U0314U03C9:="U1FA1"
CRCU1FA1.=" SCompU03B9U0314U03C9"
CDSCompU03B9U0028U03C9:="U1FA1"
CRCU1FA1.=" SCompU03B9U0028U03C9"
-CDU0000U1f62:="U1FA2"
-CRCU1FA2.=" U0000U1f62"
+CDTiotaU1f62:="U1FA2"
+CRCU1FA2.=" TiotaU1f62"
CDSCompU03B9U1f62:="U1FA2"
CRCU1FA2.=" SCompU03B9U1f62"
-CDU0000TgravU1f60:="U1FA2"
-CRCU1FA2.=" U0000TgravU1f60"
-CDU0000SCompU0060U1f60:="U1FA2"
-CRCU1FA2.=" U0000SCompU0060U1f60"
+CDTiotaTgravU1f60:="U1FA2"
+CRCU1FA2.=" TiotaTgravU1f60"
+CDTiotaSCompU0060U1f60:="U1FA2"
+CRCU1FA2.=" TiotaSCompU0060U1f60"
CDSCompU03B9TgravU1f60:="U1FA2"
CRCU1FA2.=" SCompU03B9TgravU1f60"
CDSCompU03B9U0060U1f60:="U1FA2"
CRCU1FA2.=" SCompU03B9U0060U1f60"
-CDU0000TgravU0313U03C9:="U1FA2"
-CRCU1FA2.=" U0000TgravU0313U03C9"
-CDU0000TgravSCompU0029U03C9:="U1FA2"
-CRCU1FA2.=" U0000TgravSCompU0029U03C9"
-CDU0000SCompU0060U0313U03C9:="U1FA2"
-CRCU1FA2.=" U0000SCompU0060U0313U03C9"
-CDU0000SCompU0060U0029U03C9:="U1FA2"
-CRCU1FA2.=" U0000SCompU0060U0029U03C9"
+CDTiotaTgravU0313U03C9:="U1FA2"
+CRCU1FA2.=" TiotaTgravU0313U03C9"
+CDTiotaTgravSCompU0029U03C9:="U1FA2"
+CRCU1FA2.=" TiotaTgravSCompU0029U03C9"
+CDTiotaSCompU0060U0313U03C9:="U1FA2"
+CRCU1FA2.=" TiotaSCompU0060U0313U03C9"
+CDTiotaSCompU0060U0029U03C9:="U1FA2"
+CRCU1FA2.=" TiotaSCompU0060U0029U03C9"
CDSCompU03B9TgravU0313U03C9:="U1FA2"
CRCU1FA2.=" SCompU03B9TgravU0313U03C9"
CDSCompU03B9TgravU0029U03C9:="U1FA2"
@@ -9247,38 +9326,38 @@ CDSCompU03B9U0060U0313U03C9:="U1FA2"
CRCU1FA2.=" SCompU03B9U0060U0313U03C9"
CDSCompU03B9U0060U0029U03C9:="U1FA2"
CRCU1FA2.=" SCompU03B9U0060U0029U03C9"
-CDU0000U0000U1f60:="U1FA2"
-CRCU1FA2.=" U0000U0000U1f60"
-CDSCompU03B9U0000U1f60:="U1FA2"
-CRCU1FA2.=" SCompU03B9U0000U1f60"
-CDU0000U0000U0313U03C9:="U1FA2"
-CRCU1FA2.=" U0000U0000U0313U03C9"
-CDU0000U0000SCompU0029U03C9:="U1FA2"
-CRCU1FA2.=" U0000U0000SCompU0029U03C9"
-CDSCompU03B9U0000U0313U03C9:="U1FA2"
-CRCU1FA2.=" SCompU03B9U0000U0313U03C9"
-CDSCompU03B9U0000U0029U03C9:="U1FA2"
-CRCU1FA2.=" SCompU03B9U0000U0029U03C9"
-CDU0000U1f63:="U1FA3"
-CRCU1FA3.=" U0000U1f63"
+CDTiotaTCgrvU1f60:="U1FA2"
+CRCU1FA2.=" TiotaTCgrvU1f60"
+CDSCompU03B9TCgrvU1f60:="U1FA2"
+CRCU1FA2.=" SCompU03B9TCgrvU1f60"
+CDTiotaTCgrvU0313U03C9:="U1FA2"
+CRCU1FA2.=" TiotaTCgrvU0313U03C9"
+CDTiotaTCgrvSCompU0029U03C9:="U1FA2"
+CRCU1FA2.=" TiotaTCgrvSCompU0029U03C9"
+CDSCompU03B9TCgrvU0313U03C9:="U1FA2"
+CRCU1FA2.=" SCompU03B9TCgrvU0313U03C9"
+CDSCompU03B9TCgrvU0029U03C9:="U1FA2"
+CRCU1FA2.=" SCompU03B9TCgrvU0029U03C9"
+CDTiotaU1f63:="U1FA3"
+CRCU1FA3.=" TiotaU1f63"
CDSCompU03B9U1f63:="U1FA3"
CRCU1FA3.=" SCompU03B9U1f63"
-CDU0000TgravU1f61:="U1FA3"
-CRCU1FA3.=" U0000TgravU1f61"
-CDU0000SCompU0060U1f61:="U1FA3"
-CRCU1FA3.=" U0000SCompU0060U1f61"
+CDTiotaTgravU1f61:="U1FA3"
+CRCU1FA3.=" TiotaTgravU1f61"
+CDTiotaSCompU0060U1f61:="U1FA3"
+CRCU1FA3.=" TiotaSCompU0060U1f61"
CDSCompU03B9TgravU1f61:="U1FA3"
CRCU1FA3.=" SCompU03B9TgravU1f61"
CDSCompU03B9U0060U1f61:="U1FA3"
CRCU1FA3.=" SCompU03B9U0060U1f61"
-CDU0000TgravU0314U03C9:="U1FA3"
-CRCU1FA3.=" U0000TgravU0314U03C9"
-CDU0000TgravSCompU0028U03C9:="U1FA3"
-CRCU1FA3.=" U0000TgravSCompU0028U03C9"
-CDU0000SCompU0060U0314U03C9:="U1FA3"
-CRCU1FA3.=" U0000SCompU0060U0314U03C9"
-CDU0000SCompU0060U0028U03C9:="U1FA3"
-CRCU1FA3.=" U0000SCompU0060U0028U03C9"
+CDTiotaTgravU0314U03C9:="U1FA3"
+CRCU1FA3.=" TiotaTgravU0314U03C9"
+CDTiotaTgravSCompU0028U03C9:="U1FA3"
+CRCU1FA3.=" TiotaTgravSCompU0028U03C9"
+CDTiotaSCompU0060U0314U03C9:="U1FA3"
+CRCU1FA3.=" TiotaSCompU0060U0314U03C9"
+CDTiotaSCompU0060U0028U03C9:="U1FA3"
+CRCU1FA3.=" TiotaSCompU0060U0028U03C9"
CDSCompU03B9TgravU0314U03C9:="U1FA3"
CRCU1FA3.=" SCompU03B9TgravU0314U03C9"
CDSCompU03B9TgravU0028U03C9:="U1FA3"
@@ -9287,46 +9366,46 @@ CDSCompU03B9U0060U0314U03C9:="U1FA3"
CRCU1FA3.=" SCompU03B9U0060U0314U03C9"
CDSCompU03B9U0060U0028U03C9:="U1FA3"
CRCU1FA3.=" SCompU03B9U0060U0028U03C9"
-CDU0000U0000U1f61:="U1FA3"
-CRCU1FA3.=" U0000U0000U1f61"
-CDSCompU03B9U0000U1f61:="U1FA3"
-CRCU1FA3.=" SCompU03B9U0000U1f61"
-CDU0000U0000U0314U03C9:="U1FA3"
-CRCU1FA3.=" U0000U0000U0314U03C9"
-CDU0000U0000SCompU0028U03C9:="U1FA3"
-CRCU1FA3.=" U0000U0000SCompU0028U03C9"
-CDSCompU03B9U0000U0314U03C9:="U1FA3"
-CRCU1FA3.=" SCompU03B9U0000U0314U03C9"
-CDSCompU03B9U0000U0028U03C9:="U1FA3"
-CRCU1FA3.=" SCompU03B9U0000U0028U03C9"
-CDU0000U1f64:="U1FA4"
-CRCU1FA4.=" U0000U1f64"
+CDTiotaTCgrvU1f61:="U1FA3"
+CRCU1FA3.=" TiotaTCgrvU1f61"
+CDSCompU03B9TCgrvU1f61:="U1FA3"
+CRCU1FA3.=" SCompU03B9TCgrvU1f61"
+CDTiotaTCgrvU0314U03C9:="U1FA3"
+CRCU1FA3.=" TiotaTCgrvU0314U03C9"
+CDTiotaTCgrvSCompU0028U03C9:="U1FA3"
+CRCU1FA3.=" TiotaTCgrvSCompU0028U03C9"
+CDSCompU03B9TCgrvU0314U03C9:="U1FA3"
+CRCU1FA3.=" SCompU03B9TCgrvU0314U03C9"
+CDSCompU03B9TCgrvU0028U03C9:="U1FA3"
+CRCU1FA3.=" SCompU03B9TCgrvU0028U03C9"
+CDTiotaU1f64:="U1FA4"
+CRCU1FA4.=" TiotaU1f64"
CDSCompU03B9U1f64:="U1FA4"
CRCU1FA4.=" SCompU03B9U1f64"
-CDU0000TacutU1f60:="U1FA4"
-CRCU1FA4.=" U0000TacutU1f60"
-CDU0000SCompU00B4U1f60:="U1FA4"
-CRCU1FA4.=" U0000SCompU00B4U1f60"
-CDU0000SCompU0027U1f60:="U1FA4"
-CRCU1FA4.=" U0000SCompU0027U1f60"
+CDTiotaTacutU1f60:="U1FA4"
+CRCU1FA4.=" TiotaTacutU1f60"
+CDTiotaSCompU00B4U1f60:="U1FA4"
+CRCU1FA4.=" TiotaSCompU00B4U1f60"
+CDTiotaSCompU0027U1f60:="U1FA4"
+CRCU1FA4.=" TiotaSCompU0027U1f60"
CDSCompU03B9TacutU1f60:="U1FA4"
CRCU1FA4.=" SCompU03B9TacutU1f60"
CDSCompU03B9U00B4U1f60:="U1FA4"
CRCU1FA4.=" SCompU03B9U00B4U1f60"
CDSCompU03B9U0027U1f60:="U1FA4"
CRCU1FA4.=" SCompU03B9U0027U1f60"
-CDU0000TacutU0313U03C9:="U1FA4"
-CRCU1FA4.=" U0000TacutU0313U03C9"
-CDU0000TacutSCompU0029U03C9:="U1FA4"
-CRCU1FA4.=" U0000TacutSCompU0029U03C9"
-CDU0000SCompU00B4U0313U03C9:="U1FA4"
-CRCU1FA4.=" U0000SCompU00B4U0313U03C9"
-CDU0000SCompU00B4U0029U03C9:="U1FA4"
-CRCU1FA4.=" U0000SCompU00B4U0029U03C9"
-CDU0000SCompU0027U0313U03C9:="U1FA4"
-CRCU1FA4.=" U0000SCompU0027U0313U03C9"
-CDU0000SCompU0027U0029U03C9:="U1FA4"
-CRCU1FA4.=" U0000SCompU0027U0029U03C9"
+CDTiotaTacutU0313U03C9:="U1FA4"
+CRCU1FA4.=" TiotaTacutU0313U03C9"
+CDTiotaTacutSCompU0029U03C9:="U1FA4"
+CRCU1FA4.=" TiotaTacutSCompU0029U03C9"
+CDTiotaSCompU00B4U0313U03C9:="U1FA4"
+CRCU1FA4.=" TiotaSCompU00B4U0313U03C9"
+CDTiotaSCompU00B4U0029U03C9:="U1FA4"
+CRCU1FA4.=" TiotaSCompU00B4U0029U03C9"
+CDTiotaSCompU0027U0313U03C9:="U1FA4"
+CRCU1FA4.=" TiotaSCompU0027U0313U03C9"
+CDTiotaSCompU0027U0029U03C9:="U1FA4"
+CRCU1FA4.=" TiotaSCompU0027U0029U03C9"
CDSCompU03B9TacutU0313U03C9:="U1FA4"
CRCU1FA4.=" SCompU03B9TacutU0313U03C9"
CDSCompU03B9TacutU0029U03C9:="U1FA4"
@@ -9339,46 +9418,46 @@ CDSCompU03B9U0027U0313U03C9:="U1FA4"
CRCU1FA4.=" SCompU03B9U0027U0313U03C9"
CDSCompU03B9U0027U0029U03C9:="U1FA4"
CRCU1FA4.=" SCompU03B9U0027U0029U03C9"
-CDU0000U0000U1f60:="U1FA4"
-CRCU1FA4.=" U0000U0000U1f60"
-CDSCompU03B9U0000U1f60:="U1FA4"
-CRCU1FA4.=" SCompU03B9U0000U1f60"
-CDU0000U0000U0313U03C9:="U1FA4"
-CRCU1FA4.=" U0000U0000U0313U03C9"
-CDU0000U0000SCompU0029U03C9:="U1FA4"
-CRCU1FA4.=" U0000U0000SCompU0029U03C9"
-CDSCompU03B9U0000U0313U03C9:="U1FA4"
-CRCU1FA4.=" SCompU03B9U0000U0313U03C9"
-CDSCompU03B9U0000U0029U03C9:="U1FA4"
-CRCU1FA4.=" SCompU03B9U0000U0029U03C9"
-CDU0000U1f65:="U1FA5"
-CRCU1FA5.=" U0000U1f65"
+CDTiotaTCactU1f60:="U1FA4"
+CRCU1FA4.=" TiotaTCactU1f60"
+CDSCompU03B9TCactU1f60:="U1FA4"
+CRCU1FA4.=" SCompU03B9TCactU1f60"
+CDTiotaTCactU0313U03C9:="U1FA4"
+CRCU1FA4.=" TiotaTCactU0313U03C9"
+CDTiotaTCactSCompU0029U03C9:="U1FA4"
+CRCU1FA4.=" TiotaTCactSCompU0029U03C9"
+CDSCompU03B9TCactU0313U03C9:="U1FA4"
+CRCU1FA4.=" SCompU03B9TCactU0313U03C9"
+CDSCompU03B9TCactU0029U03C9:="U1FA4"
+CRCU1FA4.=" SCompU03B9TCactU0029U03C9"
+CDTiotaU1f65:="U1FA5"
+CRCU1FA5.=" TiotaU1f65"
CDSCompU03B9U1f65:="U1FA5"
CRCU1FA5.=" SCompU03B9U1f65"
-CDU0000TacutU1f61:="U1FA5"
-CRCU1FA5.=" U0000TacutU1f61"
-CDU0000SCompU00B4U1f61:="U1FA5"
-CRCU1FA5.=" U0000SCompU00B4U1f61"
-CDU0000SCompU0027U1f61:="U1FA5"
-CRCU1FA5.=" U0000SCompU0027U1f61"
+CDTiotaTacutU1f61:="U1FA5"
+CRCU1FA5.=" TiotaTacutU1f61"
+CDTiotaSCompU00B4U1f61:="U1FA5"
+CRCU1FA5.=" TiotaSCompU00B4U1f61"
+CDTiotaSCompU0027U1f61:="U1FA5"
+CRCU1FA5.=" TiotaSCompU0027U1f61"
CDSCompU03B9TacutU1f61:="U1FA5"
CRCU1FA5.=" SCompU03B9TacutU1f61"
CDSCompU03B9U00B4U1f61:="U1FA5"
CRCU1FA5.=" SCompU03B9U00B4U1f61"
CDSCompU03B9U0027U1f61:="U1FA5"
CRCU1FA5.=" SCompU03B9U0027U1f61"
-CDU0000TacutU0314U03C9:="U1FA5"
-CRCU1FA5.=" U0000TacutU0314U03C9"
-CDU0000TacutSCompU0028U03C9:="U1FA5"
-CRCU1FA5.=" U0000TacutSCompU0028U03C9"
-CDU0000SCompU00B4U0314U03C9:="U1FA5"
-CRCU1FA5.=" U0000SCompU00B4U0314U03C9"
-CDU0000SCompU00B4U0028U03C9:="U1FA5"
-CRCU1FA5.=" U0000SCompU00B4U0028U03C9"
-CDU0000SCompU0027U0314U03C9:="U1FA5"
-CRCU1FA5.=" U0000SCompU0027U0314U03C9"
-CDU0000SCompU0027U0028U03C9:="U1FA5"
-CRCU1FA5.=" U0000SCompU0027U0028U03C9"
+CDTiotaTacutU0314U03C9:="U1FA5"
+CRCU1FA5.=" TiotaTacutU0314U03C9"
+CDTiotaTacutSCompU0028U03C9:="U1FA5"
+CRCU1FA5.=" TiotaTacutSCompU0028U03C9"
+CDTiotaSCompU00B4U0314U03C9:="U1FA5"
+CRCU1FA5.=" TiotaSCompU00B4U0314U03C9"
+CDTiotaSCompU00B4U0028U03C9:="U1FA5"
+CRCU1FA5.=" TiotaSCompU00B4U0028U03C9"
+CDTiotaSCompU0027U0314U03C9:="U1FA5"
+CRCU1FA5.=" TiotaSCompU0027U0314U03C9"
+CDTiotaSCompU0027U0028U03C9:="U1FA5"
+CRCU1FA5.=" TiotaSCompU0027U0028U03C9"
CDSCompU03B9TacutU0314U03C9:="U1FA5"
CRCU1FA5.=" SCompU03B9TacutU0314U03C9"
CDSCompU03B9TacutU0028U03C9:="U1FA5"
@@ -9391,46 +9470,46 @@ CDSCompU03B9U0027U0314U03C9:="U1FA5"
CRCU1FA5.=" SCompU03B9U0027U0314U03C9"
CDSCompU03B9U0027U0028U03C9:="U1FA5"
CRCU1FA5.=" SCompU03B9U0027U0028U03C9"
-CDU0000U0000U1f61:="U1FA5"
-CRCU1FA5.=" U0000U0000U1f61"
-CDSCompU03B9U0000U1f61:="U1FA5"
-CRCU1FA5.=" SCompU03B9U0000U1f61"
-CDU0000U0000U0314U03C9:="U1FA5"
-CRCU1FA5.=" U0000U0000U0314U03C9"
-CDU0000U0000SCompU0028U03C9:="U1FA5"
-CRCU1FA5.=" U0000U0000SCompU0028U03C9"
-CDSCompU03B9U0000U0314U03C9:="U1FA5"
-CRCU1FA5.=" SCompU03B9U0000U0314U03C9"
-CDSCompU03B9U0000U0028U03C9:="U1FA5"
-CRCU1FA5.=" SCompU03B9U0000U0028U03C9"
-CDU0000U1f66:="U1FA6"
-CRCU1FA6.=" U0000U1f66"
+CDTiotaTCactU1f61:="U1FA5"
+CRCU1FA5.=" TiotaTCactU1f61"
+CDSCompU03B9TCactU1f61:="U1FA5"
+CRCU1FA5.=" SCompU03B9TCactU1f61"
+CDTiotaTCactU0314U03C9:="U1FA5"
+CRCU1FA5.=" TiotaTCactU0314U03C9"
+CDTiotaTCactSCompU0028U03C9:="U1FA5"
+CRCU1FA5.=" TiotaTCactSCompU0028U03C9"
+CDSCompU03B9TCactU0314U03C9:="U1FA5"
+CRCU1FA5.=" SCompU03B9TCactU0314U03C9"
+CDSCompU03B9TCactU0028U03C9:="U1FA5"
+CRCU1FA5.=" SCompU03B9TCactU0028U03C9"
+CDTiotaU1f66:="U1FA6"
+CRCU1FA6.=" TiotaU1f66"
CDSCompU03B9U1f66:="U1FA6"
CRCU1FA6.=" SCompU03B9U1f66"
-CDU0000U0342U1f60:="U1FA6"
-CRCU1FA6.=" U0000U0342U1f60"
-CDU0000TtldeU1f60:="U1FA6"
-CRCU1FA6.=" U0000TtldeU1f60"
-CDU0000SCompU007EU1f60:="U1FA6"
-CRCU1FA6.=" U0000SCompU007EU1f60"
+CDTiotaU0342U1f60:="U1FA6"
+CRCU1FA6.=" TiotaU0342U1f60"
+CDTiotaTtldeU1f60:="U1FA6"
+CRCU1FA6.=" TiotaTtldeU1f60"
+CDTiotaSCompU007EU1f60:="U1FA6"
+CRCU1FA6.=" TiotaSCompU007EU1f60"
CDSCompU03B9U0342U1f60:="U1FA6"
CRCU1FA6.=" SCompU03B9U0342U1f60"
CDSCompU03B9TtldeU1f60:="U1FA6"
CRCU1FA6.=" SCompU03B9TtldeU1f60"
CDSCompU03B9U007EU1f60:="U1FA6"
CRCU1FA6.=" SCompU03B9U007EU1f60"
-CDU0000U0342U0313U03C9:="U1FA6"
-CRCU1FA6.=" U0000U0342U0313U03C9"
-CDU0000U0342SCompU0029U03C9:="U1FA6"
-CRCU1FA6.=" U0000U0342SCompU0029U03C9"
-CDU0000TtldeU0313U03C9:="U1FA6"
-CRCU1FA6.=" U0000TtldeU0313U03C9"
-CDU0000TtldeSCompU0029U03C9:="U1FA6"
-CRCU1FA6.=" U0000TtldeSCompU0029U03C9"
-CDU0000SCompU007EU0313U03C9:="U1FA6"
-CRCU1FA6.=" U0000SCompU007EU0313U03C9"
-CDU0000SCompU007EU0029U03C9:="U1FA6"
-CRCU1FA6.=" U0000SCompU007EU0029U03C9"
+CDTiotaU0342U0313U03C9:="U1FA6"
+CRCU1FA6.=" TiotaU0342U0313U03C9"
+CDTiotaU0342SCompU0029U03C9:="U1FA6"
+CRCU1FA6.=" TiotaU0342SCompU0029U03C9"
+CDTiotaTtldeU0313U03C9:="U1FA6"
+CRCU1FA6.=" TiotaTtldeU0313U03C9"
+CDTiotaTtldeSCompU0029U03C9:="U1FA6"
+CRCU1FA6.=" TiotaTtldeSCompU0029U03C9"
+CDTiotaSCompU007EU0313U03C9:="U1FA6"
+CRCU1FA6.=" TiotaSCompU007EU0313U03C9"
+CDTiotaSCompU007EU0029U03C9:="U1FA6"
+CRCU1FA6.=" TiotaSCompU007EU0029U03C9"
CDSCompU03B9U0342U0313U03C9:="U1FA6"
CRCU1FA6.=" SCompU03B9U0342U0313U03C9"
CDSCompU03B9U0342U0029U03C9:="U1FA6"
@@ -9443,34 +9522,34 @@ CDSCompU03B9U007EU0313U03C9:="U1FA6"
CRCU1FA6.=" SCompU03B9U007EU0313U03C9"
CDSCompU03B9U007EU0029U03C9:="U1FA6"
CRCU1FA6.=" SCompU03B9U007EU0029U03C9"
-CDU0000U1f67:="U1FA7"
-CRCU1FA7.=" U0000U1f67"
+CDTiotaU1f67:="U1FA7"
+CRCU1FA7.=" TiotaU1f67"
CDSCompU03B9U1f67:="U1FA7"
CRCU1FA7.=" SCompU03B9U1f67"
-CDU0000U0342U1f61:="U1FA7"
-CRCU1FA7.=" U0000U0342U1f61"
-CDU0000TtldeU1f61:="U1FA7"
-CRCU1FA7.=" U0000TtldeU1f61"
-CDU0000SCompU007EU1f61:="U1FA7"
-CRCU1FA7.=" U0000SCompU007EU1f61"
+CDTiotaU0342U1f61:="U1FA7"
+CRCU1FA7.=" TiotaU0342U1f61"
+CDTiotaTtldeU1f61:="U1FA7"
+CRCU1FA7.=" TiotaTtldeU1f61"
+CDTiotaSCompU007EU1f61:="U1FA7"
+CRCU1FA7.=" TiotaSCompU007EU1f61"
CDSCompU03B9U0342U1f61:="U1FA7"
CRCU1FA7.=" SCompU03B9U0342U1f61"
CDSCompU03B9TtldeU1f61:="U1FA7"
CRCU1FA7.=" SCompU03B9TtldeU1f61"
CDSCompU03B9U007EU1f61:="U1FA7"
CRCU1FA7.=" SCompU03B9U007EU1f61"
-CDU0000U0342U0314U03C9:="U1FA7"
-CRCU1FA7.=" U0000U0342U0314U03C9"
-CDU0000U0342SCompU0028U03C9:="U1FA7"
-CRCU1FA7.=" U0000U0342SCompU0028U03C9"
-CDU0000TtldeU0314U03C9:="U1FA7"
-CRCU1FA7.=" U0000TtldeU0314U03C9"
-CDU0000TtldeSCompU0028U03C9:="U1FA7"
-CRCU1FA7.=" U0000TtldeSCompU0028U03C9"
-CDU0000SCompU007EU0314U03C9:="U1FA7"
-CRCU1FA7.=" U0000SCompU007EU0314U03C9"
-CDU0000SCompU007EU0028U03C9:="U1FA7"
-CRCU1FA7.=" U0000SCompU007EU0028U03C9"
+CDTiotaU0342U0314U03C9:="U1FA7"
+CRCU1FA7.=" TiotaU0342U0314U03C9"
+CDTiotaU0342SCompU0028U03C9:="U1FA7"
+CRCU1FA7.=" TiotaU0342SCompU0028U03C9"
+CDTiotaTtldeU0314U03C9:="U1FA7"
+CRCU1FA7.=" TiotaTtldeU0314U03C9"
+CDTiotaTtldeSCompU0028U03C9:="U1FA7"
+CRCU1FA7.=" TiotaTtldeSCompU0028U03C9"
+CDTiotaSCompU007EU0314U03C9:="U1FA7"
+CRCU1FA7.=" TiotaSCompU007EU0314U03C9"
+CDTiotaSCompU007EU0028U03C9:="U1FA7"
+CRCU1FA7.=" TiotaSCompU007EU0028U03C9"
CDSCompU03B9U0342U0314U03C9:="U1FA7"
CRCU1FA7.=" SCompU03B9U0342U0314U03C9"
CDSCompU03B9U0342U0028U03C9:="U1FA7"
@@ -9483,50 +9562,50 @@ CDSCompU03B9U007EU0314U03C9:="U1FA7"
CRCU1FA7.=" SCompU03B9U007EU0314U03C9"
CDSCompU03B9U007EU0028U03C9:="U1FA7"
CRCU1FA7.=" SCompU03B9U007EU0028U03C9"
-CDU0000U1f68:="U1FA8"
-CRCU1FA8.=" U0000U1f68"
+CDTiotaU1f68:="U1FA8"
+CRCU1FA8.=" TiotaU1f68"
CDSCompU03B9U1f68:="U1FA8"
CRCU1FA8.=" SCompU03B9U1f68"
-CDU0000U0313U03A9:="U1FA8"
-CRCU1FA8.=" U0000U0313U03A9"
-CDU0000SCompU0029U03A9:="U1FA8"
-CRCU1FA8.=" U0000SCompU0029U03A9"
+CDTiotaU0313U03A9:="U1FA8"
+CRCU1FA8.=" TiotaU0313U03A9"
+CDTiotaSCompU0029U03A9:="U1FA8"
+CRCU1FA8.=" TiotaSCompU0029U03A9"
CDSCompU03B9U0313U03A9:="U1FA8"
CRCU1FA8.=" SCompU03B9U0313U03A9"
CDSCompU03B9U0029U03A9:="U1FA8"
CRCU1FA8.=" SCompU03B9U0029U03A9"
-CDU0000U1f69:="U1FA9"
-CRCU1FA9.=" U0000U1f69"
+CDTiotaU1f69:="U1FA9"
+CRCU1FA9.=" TiotaU1f69"
CDSCompU03B9U1f69:="U1FA9"
CRCU1FA9.=" SCompU03B9U1f69"
-CDU0000U0314U03A9:="U1FA9"
-CRCU1FA9.=" U0000U0314U03A9"
-CDU0000SCompU0028U03A9:="U1FA9"
-CRCU1FA9.=" U0000SCompU0028U03A9"
+CDTiotaU0314U03A9:="U1FA9"
+CRCU1FA9.=" TiotaU0314U03A9"
+CDTiotaSCompU0028U03A9:="U1FA9"
+CRCU1FA9.=" TiotaSCompU0028U03A9"
CDSCompU03B9U0314U03A9:="U1FA9"
CRCU1FA9.=" SCompU03B9U0314U03A9"
CDSCompU03B9U0028U03A9:="U1FA9"
CRCU1FA9.=" SCompU03B9U0028U03A9"
-CDU0000U1f6a:="U1FAA"
-CRCU1FAA.=" U0000U1f6a"
+CDTiotaU1f6a:="U1FAA"
+CRCU1FAA.=" TiotaU1f6a"
CDSCompU03B9U1f6a:="U1FAA"
CRCU1FAA.=" SCompU03B9U1f6a"
-CDU0000TgravU1f68:="U1FAA"
-CRCU1FAA.=" U0000TgravU1f68"
-CDU0000SCompU0060U1f68:="U1FAA"
-CRCU1FAA.=" U0000SCompU0060U1f68"
+CDTiotaTgravU1f68:="U1FAA"
+CRCU1FAA.=" TiotaTgravU1f68"
+CDTiotaSCompU0060U1f68:="U1FAA"
+CRCU1FAA.=" TiotaSCompU0060U1f68"
CDSCompU03B9TgravU1f68:="U1FAA"
CRCU1FAA.=" SCompU03B9TgravU1f68"
CDSCompU03B9U0060U1f68:="U1FAA"
CRCU1FAA.=" SCompU03B9U0060U1f68"
-CDU0000TgravU0313U03A9:="U1FAA"
-CRCU1FAA.=" U0000TgravU0313U03A9"
-CDU0000TgravSCompU0029U03A9:="U1FAA"
-CRCU1FAA.=" U0000TgravSCompU0029U03A9"
-CDU0000SCompU0060U0313U03A9:="U1FAA"
-CRCU1FAA.=" U0000SCompU0060U0313U03A9"
-CDU0000SCompU0060U0029U03A9:="U1FAA"
-CRCU1FAA.=" U0000SCompU0060U0029U03A9"
+CDTiotaTgravU0313U03A9:="U1FAA"
+CRCU1FAA.=" TiotaTgravU0313U03A9"
+CDTiotaTgravSCompU0029U03A9:="U1FAA"
+CRCU1FAA.=" TiotaTgravSCompU0029U03A9"
+CDTiotaSCompU0060U0313U03A9:="U1FAA"
+CRCU1FAA.=" TiotaSCompU0060U0313U03A9"
+CDTiotaSCompU0060U0029U03A9:="U1FAA"
+CRCU1FAA.=" TiotaSCompU0060U0029U03A9"
CDSCompU03B9TgravU0313U03A9:="U1FAA"
CRCU1FAA.=" SCompU03B9TgravU0313U03A9"
CDSCompU03B9TgravU0029U03A9:="U1FAA"
@@ -9535,38 +9614,38 @@ CDSCompU03B9U0060U0313U03A9:="U1FAA"
CRCU1FAA.=" SCompU03B9U0060U0313U03A9"
CDSCompU03B9U0060U0029U03A9:="U1FAA"
CRCU1FAA.=" SCompU03B9U0060U0029U03A9"
-CDU0000U0000U1f68:="U1FAA"
-CRCU1FAA.=" U0000U0000U1f68"
-CDSCompU03B9U0000U1f68:="U1FAA"
-CRCU1FAA.=" SCompU03B9U0000U1f68"
-CDU0000U0000U0313U03A9:="U1FAA"
-CRCU1FAA.=" U0000U0000U0313U03A9"
-CDU0000U0000SCompU0029U03A9:="U1FAA"
-CRCU1FAA.=" U0000U0000SCompU0029U03A9"
-CDSCompU03B9U0000U0313U03A9:="U1FAA"
-CRCU1FAA.=" SCompU03B9U0000U0313U03A9"
-CDSCompU03B9U0000U0029U03A9:="U1FAA"
-CRCU1FAA.=" SCompU03B9U0000U0029U03A9"
-CDU0000U1f6b:="U1FAB"
-CRCU1FAB.=" U0000U1f6b"
+CDTiotaTCgrvU1f68:="U1FAA"
+CRCU1FAA.=" TiotaTCgrvU1f68"
+CDSCompU03B9TCgrvU1f68:="U1FAA"
+CRCU1FAA.=" SCompU03B9TCgrvU1f68"
+CDTiotaTCgrvU0313U03A9:="U1FAA"
+CRCU1FAA.=" TiotaTCgrvU0313U03A9"
+CDTiotaTCgrvSCompU0029U03A9:="U1FAA"
+CRCU1FAA.=" TiotaTCgrvSCompU0029U03A9"
+CDSCompU03B9TCgrvU0313U03A9:="U1FAA"
+CRCU1FAA.=" SCompU03B9TCgrvU0313U03A9"
+CDSCompU03B9TCgrvU0029U03A9:="U1FAA"
+CRCU1FAA.=" SCompU03B9TCgrvU0029U03A9"
+CDTiotaU1f6b:="U1FAB"
+CRCU1FAB.=" TiotaU1f6b"
CDSCompU03B9U1f6b:="U1FAB"
CRCU1FAB.=" SCompU03B9U1f6b"
-CDU0000TgravU1f69:="U1FAB"
-CRCU1FAB.=" U0000TgravU1f69"
-CDU0000SCompU0060U1f69:="U1FAB"
-CRCU1FAB.=" U0000SCompU0060U1f69"
+CDTiotaTgravU1f69:="U1FAB"
+CRCU1FAB.=" TiotaTgravU1f69"
+CDTiotaSCompU0060U1f69:="U1FAB"
+CRCU1FAB.=" TiotaSCompU0060U1f69"
CDSCompU03B9TgravU1f69:="U1FAB"
CRCU1FAB.=" SCompU03B9TgravU1f69"
CDSCompU03B9U0060U1f69:="U1FAB"
CRCU1FAB.=" SCompU03B9U0060U1f69"
-CDU0000TgravU0314U03A9:="U1FAB"
-CRCU1FAB.=" U0000TgravU0314U03A9"
-CDU0000TgravSCompU0028U03A9:="U1FAB"
-CRCU1FAB.=" U0000TgravSCompU0028U03A9"
-CDU0000SCompU0060U0314U03A9:="U1FAB"
-CRCU1FAB.=" U0000SCompU0060U0314U03A9"
-CDU0000SCompU0060U0028U03A9:="U1FAB"
-CRCU1FAB.=" U0000SCompU0060U0028U03A9"
+CDTiotaTgravU0314U03A9:="U1FAB"
+CRCU1FAB.=" TiotaTgravU0314U03A9"
+CDTiotaTgravSCompU0028U03A9:="U1FAB"
+CRCU1FAB.=" TiotaTgravSCompU0028U03A9"
+CDTiotaSCompU0060U0314U03A9:="U1FAB"
+CRCU1FAB.=" TiotaSCompU0060U0314U03A9"
+CDTiotaSCompU0060U0028U03A9:="U1FAB"
+CRCU1FAB.=" TiotaSCompU0060U0028U03A9"
CDSCompU03B9TgravU0314U03A9:="U1FAB"
CRCU1FAB.=" SCompU03B9TgravU0314U03A9"
CDSCompU03B9TgravU0028U03A9:="U1FAB"
@@ -9575,46 +9654,46 @@ CDSCompU03B9U0060U0314U03A9:="U1FAB"
CRCU1FAB.=" SCompU03B9U0060U0314U03A9"
CDSCompU03B9U0060U0028U03A9:="U1FAB"
CRCU1FAB.=" SCompU03B9U0060U0028U03A9"
-CDU0000U0000U1f69:="U1FAB"
-CRCU1FAB.=" U0000U0000U1f69"
-CDSCompU03B9U0000U1f69:="U1FAB"
-CRCU1FAB.=" SCompU03B9U0000U1f69"
-CDU0000U0000U0314U03A9:="U1FAB"
-CRCU1FAB.=" U0000U0000U0314U03A9"
-CDU0000U0000SCompU0028U03A9:="U1FAB"
-CRCU1FAB.=" U0000U0000SCompU0028U03A9"
-CDSCompU03B9U0000U0314U03A9:="U1FAB"
-CRCU1FAB.=" SCompU03B9U0000U0314U03A9"
-CDSCompU03B9U0000U0028U03A9:="U1FAB"
-CRCU1FAB.=" SCompU03B9U0000U0028U03A9"
-CDU0000U1f6c:="U1FAC"
-CRCU1FAC.=" U0000U1f6c"
+CDTiotaTCgrvU1f69:="U1FAB"
+CRCU1FAB.=" TiotaTCgrvU1f69"
+CDSCompU03B9TCgrvU1f69:="U1FAB"
+CRCU1FAB.=" SCompU03B9TCgrvU1f69"
+CDTiotaTCgrvU0314U03A9:="U1FAB"
+CRCU1FAB.=" TiotaTCgrvU0314U03A9"
+CDTiotaTCgrvSCompU0028U03A9:="U1FAB"
+CRCU1FAB.=" TiotaTCgrvSCompU0028U03A9"
+CDSCompU03B9TCgrvU0314U03A9:="U1FAB"
+CRCU1FAB.=" SCompU03B9TCgrvU0314U03A9"
+CDSCompU03B9TCgrvU0028U03A9:="U1FAB"
+CRCU1FAB.=" SCompU03B9TCgrvU0028U03A9"
+CDTiotaU1f6c:="U1FAC"
+CRCU1FAC.=" TiotaU1f6c"
CDSCompU03B9U1f6c:="U1FAC"
CRCU1FAC.=" SCompU03B9U1f6c"
-CDU0000TacutU1f68:="U1FAC"
-CRCU1FAC.=" U0000TacutU1f68"
-CDU0000SCompU00B4U1f68:="U1FAC"
-CRCU1FAC.=" U0000SCompU00B4U1f68"
-CDU0000SCompU0027U1f68:="U1FAC"
-CRCU1FAC.=" U0000SCompU0027U1f68"
+CDTiotaTacutU1f68:="U1FAC"
+CRCU1FAC.=" TiotaTacutU1f68"
+CDTiotaSCompU00B4U1f68:="U1FAC"
+CRCU1FAC.=" TiotaSCompU00B4U1f68"
+CDTiotaSCompU0027U1f68:="U1FAC"
+CRCU1FAC.=" TiotaSCompU0027U1f68"
CDSCompU03B9TacutU1f68:="U1FAC"
CRCU1FAC.=" SCompU03B9TacutU1f68"
CDSCompU03B9U00B4U1f68:="U1FAC"
CRCU1FAC.=" SCompU03B9U00B4U1f68"
CDSCompU03B9U0027U1f68:="U1FAC"
CRCU1FAC.=" SCompU03B9U0027U1f68"
-CDU0000TacutU0313U03A9:="U1FAC"
-CRCU1FAC.=" U0000TacutU0313U03A9"
-CDU0000TacutSCompU0029U03A9:="U1FAC"
-CRCU1FAC.=" U0000TacutSCompU0029U03A9"
-CDU0000SCompU00B4U0313U03A9:="U1FAC"
-CRCU1FAC.=" U0000SCompU00B4U0313U03A9"
-CDU0000SCompU00B4U0029U03A9:="U1FAC"
-CRCU1FAC.=" U0000SCompU00B4U0029U03A9"
-CDU0000SCompU0027U0313U03A9:="U1FAC"
-CRCU1FAC.=" U0000SCompU0027U0313U03A9"
-CDU0000SCompU0027U0029U03A9:="U1FAC"
-CRCU1FAC.=" U0000SCompU0027U0029U03A9"
+CDTiotaTacutU0313U03A9:="U1FAC"
+CRCU1FAC.=" TiotaTacutU0313U03A9"
+CDTiotaTacutSCompU0029U03A9:="U1FAC"
+CRCU1FAC.=" TiotaTacutSCompU0029U03A9"
+CDTiotaSCompU00B4U0313U03A9:="U1FAC"
+CRCU1FAC.=" TiotaSCompU00B4U0313U03A9"
+CDTiotaSCompU00B4U0029U03A9:="U1FAC"
+CRCU1FAC.=" TiotaSCompU00B4U0029U03A9"
+CDTiotaSCompU0027U0313U03A9:="U1FAC"
+CRCU1FAC.=" TiotaSCompU0027U0313U03A9"
+CDTiotaSCompU0027U0029U03A9:="U1FAC"
+CRCU1FAC.=" TiotaSCompU0027U0029U03A9"
CDSCompU03B9TacutU0313U03A9:="U1FAC"
CRCU1FAC.=" SCompU03B9TacutU0313U03A9"
CDSCompU03B9TacutU0029U03A9:="U1FAC"
@@ -9627,46 +9706,46 @@ CDSCompU03B9U0027U0313U03A9:="U1FAC"
CRCU1FAC.=" SCompU03B9U0027U0313U03A9"
CDSCompU03B9U0027U0029U03A9:="U1FAC"
CRCU1FAC.=" SCompU03B9U0027U0029U03A9"
-CDU0000U0000U1f68:="U1FAC"
-CRCU1FAC.=" U0000U0000U1f68"
-CDSCompU03B9U0000U1f68:="U1FAC"
-CRCU1FAC.=" SCompU03B9U0000U1f68"
-CDU0000U0000U0313U03A9:="U1FAC"
-CRCU1FAC.=" U0000U0000U0313U03A9"
-CDU0000U0000SCompU0029U03A9:="U1FAC"
-CRCU1FAC.=" U0000U0000SCompU0029U03A9"
-CDSCompU03B9U0000U0313U03A9:="U1FAC"
-CRCU1FAC.=" SCompU03B9U0000U0313U03A9"
-CDSCompU03B9U0000U0029U03A9:="U1FAC"
-CRCU1FAC.=" SCompU03B9U0000U0029U03A9"
-CDU0000U1f6d:="U1FAD"
-CRCU1FAD.=" U0000U1f6d"
+CDTiotaTCactU1f68:="U1FAC"
+CRCU1FAC.=" TiotaTCactU1f68"
+CDSCompU03B9TCactU1f68:="U1FAC"
+CRCU1FAC.=" SCompU03B9TCactU1f68"
+CDTiotaTCactU0313U03A9:="U1FAC"
+CRCU1FAC.=" TiotaTCactU0313U03A9"
+CDTiotaTCactSCompU0029U03A9:="U1FAC"
+CRCU1FAC.=" TiotaTCactSCompU0029U03A9"
+CDSCompU03B9TCactU0313U03A9:="U1FAC"
+CRCU1FAC.=" SCompU03B9TCactU0313U03A9"
+CDSCompU03B9TCactU0029U03A9:="U1FAC"
+CRCU1FAC.=" SCompU03B9TCactU0029U03A9"
+CDTiotaU1f6d:="U1FAD"
+CRCU1FAD.=" TiotaU1f6d"
CDSCompU03B9U1f6d:="U1FAD"
CRCU1FAD.=" SCompU03B9U1f6d"
-CDU0000TacutU1f69:="U1FAD"
-CRCU1FAD.=" U0000TacutU1f69"
-CDU0000SCompU00B4U1f69:="U1FAD"
-CRCU1FAD.=" U0000SCompU00B4U1f69"
-CDU0000SCompU0027U1f69:="U1FAD"
-CRCU1FAD.=" U0000SCompU0027U1f69"
+CDTiotaTacutU1f69:="U1FAD"
+CRCU1FAD.=" TiotaTacutU1f69"
+CDTiotaSCompU00B4U1f69:="U1FAD"
+CRCU1FAD.=" TiotaSCompU00B4U1f69"
+CDTiotaSCompU0027U1f69:="U1FAD"
+CRCU1FAD.=" TiotaSCompU0027U1f69"
CDSCompU03B9TacutU1f69:="U1FAD"
CRCU1FAD.=" SCompU03B9TacutU1f69"
CDSCompU03B9U00B4U1f69:="U1FAD"
CRCU1FAD.=" SCompU03B9U00B4U1f69"
CDSCompU03B9U0027U1f69:="U1FAD"
CRCU1FAD.=" SCompU03B9U0027U1f69"
-CDU0000TacutU0314U03A9:="U1FAD"
-CRCU1FAD.=" U0000TacutU0314U03A9"
-CDU0000TacutSCompU0028U03A9:="U1FAD"
-CRCU1FAD.=" U0000TacutSCompU0028U03A9"
-CDU0000SCompU00B4U0314U03A9:="U1FAD"
-CRCU1FAD.=" U0000SCompU00B4U0314U03A9"
-CDU0000SCompU00B4U0028U03A9:="U1FAD"
-CRCU1FAD.=" U0000SCompU00B4U0028U03A9"
-CDU0000SCompU0027U0314U03A9:="U1FAD"
-CRCU1FAD.=" U0000SCompU0027U0314U03A9"
-CDU0000SCompU0027U0028U03A9:="U1FAD"
-CRCU1FAD.=" U0000SCompU0027U0028U03A9"
+CDTiotaTacutU0314U03A9:="U1FAD"
+CRCU1FAD.=" TiotaTacutU0314U03A9"
+CDTiotaTacutSCompU0028U03A9:="U1FAD"
+CRCU1FAD.=" TiotaTacutSCompU0028U03A9"
+CDTiotaSCompU00B4U0314U03A9:="U1FAD"
+CRCU1FAD.=" TiotaSCompU00B4U0314U03A9"
+CDTiotaSCompU00B4U0028U03A9:="U1FAD"
+CRCU1FAD.=" TiotaSCompU00B4U0028U03A9"
+CDTiotaSCompU0027U0314U03A9:="U1FAD"
+CRCU1FAD.=" TiotaSCompU0027U0314U03A9"
+CDTiotaSCompU0027U0028U03A9:="U1FAD"
+CRCU1FAD.=" TiotaSCompU0027U0028U03A9"
CDSCompU03B9TacutU0314U03A9:="U1FAD"
CRCU1FAD.=" SCompU03B9TacutU0314U03A9"
CDSCompU03B9TacutU0028U03A9:="U1FAD"
@@ -9679,46 +9758,46 @@ CDSCompU03B9U0027U0314U03A9:="U1FAD"
CRCU1FAD.=" SCompU03B9U0027U0314U03A9"
CDSCompU03B9U0027U0028U03A9:="U1FAD"
CRCU1FAD.=" SCompU03B9U0027U0028U03A9"
-CDU0000U0000U1f69:="U1FAD"
-CRCU1FAD.=" U0000U0000U1f69"
-CDSCompU03B9U0000U1f69:="U1FAD"
-CRCU1FAD.=" SCompU03B9U0000U1f69"
-CDU0000U0000U0314U03A9:="U1FAD"
-CRCU1FAD.=" U0000U0000U0314U03A9"
-CDU0000U0000SCompU0028U03A9:="U1FAD"
-CRCU1FAD.=" U0000U0000SCompU0028U03A9"
-CDSCompU03B9U0000U0314U03A9:="U1FAD"
-CRCU1FAD.=" SCompU03B9U0000U0314U03A9"
-CDSCompU03B9U0000U0028U03A9:="U1FAD"
-CRCU1FAD.=" SCompU03B9U0000U0028U03A9"
-CDU0000U1f6e:="U1FAE"
-CRCU1FAE.=" U0000U1f6e"
+CDTiotaTCactU1f69:="U1FAD"
+CRCU1FAD.=" TiotaTCactU1f69"
+CDSCompU03B9TCactU1f69:="U1FAD"
+CRCU1FAD.=" SCompU03B9TCactU1f69"
+CDTiotaTCactU0314U03A9:="U1FAD"
+CRCU1FAD.=" TiotaTCactU0314U03A9"
+CDTiotaTCactSCompU0028U03A9:="U1FAD"
+CRCU1FAD.=" TiotaTCactSCompU0028U03A9"
+CDSCompU03B9TCactU0314U03A9:="U1FAD"
+CRCU1FAD.=" SCompU03B9TCactU0314U03A9"
+CDSCompU03B9TCactU0028U03A9:="U1FAD"
+CRCU1FAD.=" SCompU03B9TCactU0028U03A9"
+CDTiotaU1f6e:="U1FAE"
+CRCU1FAE.=" TiotaU1f6e"
CDSCompU03B9U1f6e:="U1FAE"
CRCU1FAE.=" SCompU03B9U1f6e"
-CDU0000U0342U1f68:="U1FAE"
-CRCU1FAE.=" U0000U0342U1f68"
-CDU0000TtldeU1f68:="U1FAE"
-CRCU1FAE.=" U0000TtldeU1f68"
-CDU0000SCompU007EU1f68:="U1FAE"
-CRCU1FAE.=" U0000SCompU007EU1f68"
+CDTiotaU0342U1f68:="U1FAE"
+CRCU1FAE.=" TiotaU0342U1f68"
+CDTiotaTtldeU1f68:="U1FAE"
+CRCU1FAE.=" TiotaTtldeU1f68"
+CDTiotaSCompU007EU1f68:="U1FAE"
+CRCU1FAE.=" TiotaSCompU007EU1f68"
CDSCompU03B9U0342U1f68:="U1FAE"
CRCU1FAE.=" SCompU03B9U0342U1f68"
CDSCompU03B9TtldeU1f68:="U1FAE"
CRCU1FAE.=" SCompU03B9TtldeU1f68"
CDSCompU03B9U007EU1f68:="U1FAE"
CRCU1FAE.=" SCompU03B9U007EU1f68"
-CDU0000U0342U0313U03A9:="U1FAE"
-CRCU1FAE.=" U0000U0342U0313U03A9"
-CDU0000U0342SCompU0029U03A9:="U1FAE"
-CRCU1FAE.=" U0000U0342SCompU0029U03A9"
-CDU0000TtldeU0313U03A9:="U1FAE"
-CRCU1FAE.=" U0000TtldeU0313U03A9"
-CDU0000TtldeSCompU0029U03A9:="U1FAE"
-CRCU1FAE.=" U0000TtldeSCompU0029U03A9"
-CDU0000SCompU007EU0313U03A9:="U1FAE"
-CRCU1FAE.=" U0000SCompU007EU0313U03A9"
-CDU0000SCompU007EU0029U03A9:="U1FAE"
-CRCU1FAE.=" U0000SCompU007EU0029U03A9"
+CDTiotaU0342U0313U03A9:="U1FAE"
+CRCU1FAE.=" TiotaU0342U0313U03A9"
+CDTiotaU0342SCompU0029U03A9:="U1FAE"
+CRCU1FAE.=" TiotaU0342SCompU0029U03A9"
+CDTiotaTtldeU0313U03A9:="U1FAE"
+CRCU1FAE.=" TiotaTtldeU0313U03A9"
+CDTiotaTtldeSCompU0029U03A9:="U1FAE"
+CRCU1FAE.=" TiotaTtldeSCompU0029U03A9"
+CDTiotaSCompU007EU0313U03A9:="U1FAE"
+CRCU1FAE.=" TiotaSCompU007EU0313U03A9"
+CDTiotaSCompU007EU0029U03A9:="U1FAE"
+CRCU1FAE.=" TiotaSCompU007EU0029U03A9"
CDSCompU03B9U0342U0313U03A9:="U1FAE"
CRCU1FAE.=" SCompU03B9U0342U0313U03A9"
CDSCompU03B9U0342U0029U03A9:="U1FAE"
@@ -9731,34 +9810,34 @@ CDSCompU03B9U007EU0313U03A9:="U1FAE"
CRCU1FAE.=" SCompU03B9U007EU0313U03A9"
CDSCompU03B9U007EU0029U03A9:="U1FAE"
CRCU1FAE.=" SCompU03B9U007EU0029U03A9"
-CDU0000U1f6f:="U1FAF"
-CRCU1FAF.=" U0000U1f6f"
+CDTiotaU1f6f:="U1FAF"
+CRCU1FAF.=" TiotaU1f6f"
CDSCompU03B9U1f6f:="U1FAF"
CRCU1FAF.=" SCompU03B9U1f6f"
-CDU0000U0342U1f69:="U1FAF"
-CRCU1FAF.=" U0000U0342U1f69"
-CDU0000TtldeU1f69:="U1FAF"
-CRCU1FAF.=" U0000TtldeU1f69"
-CDU0000SCompU007EU1f69:="U1FAF"
-CRCU1FAF.=" U0000SCompU007EU1f69"
+CDTiotaU0342U1f69:="U1FAF"
+CRCU1FAF.=" TiotaU0342U1f69"
+CDTiotaTtldeU1f69:="U1FAF"
+CRCU1FAF.=" TiotaTtldeU1f69"
+CDTiotaSCompU007EU1f69:="U1FAF"
+CRCU1FAF.=" TiotaSCompU007EU1f69"
CDSCompU03B9U0342U1f69:="U1FAF"
CRCU1FAF.=" SCompU03B9U0342U1f69"
CDSCompU03B9TtldeU1f69:="U1FAF"
CRCU1FAF.=" SCompU03B9TtldeU1f69"
CDSCompU03B9U007EU1f69:="U1FAF"
CRCU1FAF.=" SCompU03B9U007EU1f69"
-CDU0000U0342U0314U03A9:="U1FAF"
-CRCU1FAF.=" U0000U0342U0314U03A9"
-CDU0000U0342SCompU0028U03A9:="U1FAF"
-CRCU1FAF.=" U0000U0342SCompU0028U03A9"
-CDU0000TtldeU0314U03A9:="U1FAF"
-CRCU1FAF.=" U0000TtldeU0314U03A9"
-CDU0000TtldeSCompU0028U03A9:="U1FAF"
-CRCU1FAF.=" U0000TtldeSCompU0028U03A9"
-CDU0000SCompU007EU0314U03A9:="U1FAF"
-CRCU1FAF.=" U0000SCompU007EU0314U03A9"
-CDU0000SCompU007EU0028U03A9:="U1FAF"
-CRCU1FAF.=" U0000SCompU007EU0028U03A9"
+CDTiotaU0342U0314U03A9:="U1FAF"
+CRCU1FAF.=" TiotaU0342U0314U03A9"
+CDTiotaU0342SCompU0028U03A9:="U1FAF"
+CRCU1FAF.=" TiotaU0342SCompU0028U03A9"
+CDTiotaTtldeU0314U03A9:="U1FAF"
+CRCU1FAF.=" TiotaTtldeU0314U03A9"
+CDTiotaTtldeSCompU0028U03A9:="U1FAF"
+CRCU1FAF.=" TiotaTtldeSCompU0028U03A9"
+CDTiotaSCompU007EU0314U03A9:="U1FAF"
+CRCU1FAF.=" TiotaSCompU007EU0314U03A9"
+CDTiotaSCompU007EU0028U03A9:="U1FAF"
+CRCU1FAF.=" TiotaSCompU007EU0028U03A9"
CDSCompU03B9U0342U0314U03A9:="U1FAF"
CRCU1FAF.=" SCompU03B9U0342U0314U03A9"
CDSCompU03B9U0342U0028U03A9:="U1FAF"
@@ -9783,62 +9862,62 @@ CDSCompU00AFU03B1:="U1FB1"
CRCU1FB1.=" SCompU00AFU03B1"
CDSCompU005FU03B1:="U1FB1"
CRCU1FB1.=" SCompU005FU03B1"
-CDU0000U1f70:="U1FB2"
-CRCU1FB2.=" U0000U1f70"
+CDTiotaU1f70:="U1FB2"
+CRCU1FB2.=" TiotaU1f70"
CDSCompU03B9U1f70:="U1FB2"
CRCU1FB2.=" SCompU03B9U1f70"
-CDU0000TgravU03B1:="U1FB2"
-CRCU1FB2.=" U0000TgravU03B1"
-CDU0000SCompU0060U03B1:="U1FB2"
-CRCU1FB2.=" U0000SCompU0060U03B1"
+CDTiotaTgravU03B1:="U1FB2"
+CRCU1FB2.=" TiotaTgravU03B1"
+CDTiotaSCompU0060U03B1:="U1FB2"
+CRCU1FB2.=" TiotaSCompU0060U03B1"
CDSCompU03B9TgravU03B1:="U1FB2"
CRCU1FB2.=" SCompU03B9TgravU03B1"
CDSCompU03B9U0060U03B1:="U1FB2"
CRCU1FB2.=" SCompU03B9U0060U03B1"
-CDU0000U0000U03B1:="U1FB2"
-CRCU1FB2.=" U0000U0000U03B1"
-CDSCompU03B9U0000U03B1:="U1FB2"
-CRCU1FB2.=" SCompU03B9U0000U03B1"
-CDU0000U03B1:="U1FB3"
-CRCU1FB3.=" U0000U03B1"
+CDTiotaTCgrvU03B1:="U1FB2"
+CRCU1FB2.=" TiotaTCgrvU03B1"
+CDSCompU03B9TCgrvU03B1:="U1FB2"
+CRCU1FB2.=" SCompU03B9TCgrvU03B1"
+CDTiotaU03B1:="U1FB3"
+CRCU1FB3.=" TiotaU03B1"
CDSCompU03B9U03B1:="U1FB3"
CRCU1FB3.=" SCompU03B9U03B1"
-CDU0000U03AC:="U1FB4"
-CRCU1FB4.=" U0000U03AC"
+CDTiotaU03AC:="U1FB4"
+CRCU1FB4.=" TiotaU03AC"
CDSCompU03B9U03AC:="U1FB4"
CRCU1FB4.=" SCompU03B9U03AC"
-CDU0000TacutU03B1:="U1FB4"
-CRCU1FB4.=" U0000TacutU03B1"
-CDU0000SCompU00B4U03B1:="U1FB4"
-CRCU1FB4.=" U0000SCompU00B4U03B1"
-CDU0000SCompU0027U03B1:="U1FB4"
-CRCU1FB4.=" U0000SCompU0027U03B1"
+CDTiotaTacutU03B1:="U1FB4"
+CRCU1FB4.=" TiotaTacutU03B1"
+CDTiotaSCompU00B4U03B1:="U1FB4"
+CRCU1FB4.=" TiotaSCompU00B4U03B1"
+CDTiotaSCompU0027U03B1:="U1FB4"
+CRCU1FB4.=" TiotaSCompU0027U03B1"
CDSCompU03B9TacutU03B1:="U1FB4"
CRCU1FB4.=" SCompU03B9TacutU03B1"
CDSCompU03B9U00B4U03B1:="U1FB4"
CRCU1FB4.=" SCompU03B9U00B4U03B1"
CDSCompU03B9U0027U03B1:="U1FB4"
CRCU1FB4.=" SCompU03B9U0027U03B1"
-CDU0000U0000U03B1:="U1FB4"
-CRCU1FB4.=" U0000U0000U03B1"
-CDSCompU03B9U0000U03B1:="U1FB4"
-CRCU1FB4.=" SCompU03B9U0000U03B1"
+CDTiotaTCactU03B1:="U1FB4"
+CRCU1FB4.=" TiotaTCactU03B1"
+CDSCompU03B9TCactU03B1:="U1FB4"
+CRCU1FB4.=" SCompU03B9TCactU03B1"
CDU0342U03B1:="U1FB6"
CRCU1FB6.=" U0342U03B1"
CDTtldeU03B1:="U1FB6"
CRCU1FB6.=" TtldeU03B1"
CDSCompU007EU03B1:="U1FB6"
CRCU1FB6.=" SCompU007EU03B1"
-CDU0000U1fb6:="U1FB7"
-CRCU1FB7.=" U0000U1fb6"
+CDTiotaU1fb6:="U1FB7"
+CRCU1FB7.=" TiotaU1fb6"
CDSCompU03B9U1fb6:="U1FB7"
CRCU1FB7.=" SCompU03B9U1fb6"
-CDU0000U0342U03B1:="U1FB7"
-CRCU1FB7.=" U0000U0342U03B1"
-CDU0000TtldeU03B1:="U1FB7"
-CRCU1FB7.=" U0000TtldeU03B1"
-CDU0000SCompU007EU03B1:="U1FB7"
-CRCU1FB7.=" U0000SCompU007EU03B1"
+CDTiotaU0342U03B1:="U1FB7"
+CRCU1FB7.=" TiotaU0342U03B1"
+CDTiotaTtldeU03B1:="U1FB7"
+CRCU1FB7.=" TiotaTtldeU03B1"
+CDTiotaSCompU007EU03B1:="U1FB7"
+CRCU1FB7.=" TiotaSCompU007EU03B1"
CDSCompU03B9U0342U03B1:="U1FB7"
CRCU1FB7.=" SCompU03B9U0342U03B1"
CDSCompU03B9TtldeU03B1:="U1FB7"
@@ -9861,10 +9940,10 @@ CDTgravU0391:="U1FBA"
CRCU1FBA.=" TgravU0391"
CDSCompU0060U0391:="U1FBA"
CRCU1FBA.=" SCompU0060U0391"
-CDU0000U0391:="U1FBA"
-CRCU1FBA.=" U0000U0391"
-CDU0000U0391:="U1FBC"
-CRCU1FBC.=" U0000U0391"
+CDTCgrvU0391:="U1FBA"
+CRCU1FBA.=" TCgrvU0391"
+CDTiotaU0391:="U1FBC"
+CRCU1FBC.=" TiotaU0391"
CDSCompU03B9U0391:="U1FBC"
CRCU1FBC.=" SCompU03B9U0391"
CDSCompU00A8U0342:="U1FC1"
@@ -9873,62 +9952,62 @@ CDSCompU00A8Ttlde:="U1FC1"
CRCU1FC1.=" SCompU00A8Ttlde"
CDSCompU00A8U007E:="U1FC1"
CRCU1FC1.=" SCompU00A8U007E"
-CDU0000U1f74:="U1FC2"
-CRCU1FC2.=" U0000U1f74"
+CDTiotaU1f74:="U1FC2"
+CRCU1FC2.=" TiotaU1f74"
CDSCompU03B9U1f74:="U1FC2"
CRCU1FC2.=" SCompU03B9U1f74"
-CDU0000TgravU03B7:="U1FC2"
-CRCU1FC2.=" U0000TgravU03B7"
-CDU0000SCompU0060U03B7:="U1FC2"
-CRCU1FC2.=" U0000SCompU0060U03B7"
+CDTiotaTgravU03B7:="U1FC2"
+CRCU1FC2.=" TiotaTgravU03B7"
+CDTiotaSCompU0060U03B7:="U1FC2"
+CRCU1FC2.=" TiotaSCompU0060U03B7"
CDSCompU03B9TgravU03B7:="U1FC2"
CRCU1FC2.=" SCompU03B9TgravU03B7"
CDSCompU03B9U0060U03B7:="U1FC2"
CRCU1FC2.=" SCompU03B9U0060U03B7"
-CDU0000U0000U03B7:="U1FC2"
-CRCU1FC2.=" U0000U0000U03B7"
-CDSCompU03B9U0000U03B7:="U1FC2"
-CRCU1FC2.=" SCompU03B9U0000U03B7"
-CDU0000U03B7:="U1FC3"
-CRCU1FC3.=" U0000U03B7"
+CDTiotaTCgrvU03B7:="U1FC2"
+CRCU1FC2.=" TiotaTCgrvU03B7"
+CDSCompU03B9TCgrvU03B7:="U1FC2"
+CRCU1FC2.=" SCompU03B9TCgrvU03B7"
+CDTiotaU03B7:="U1FC3"
+CRCU1FC3.=" TiotaU03B7"
CDSCompU03B9U03B7:="U1FC3"
CRCU1FC3.=" SCompU03B9U03B7"
-CDU0000U03AE:="U1FC4"
-CRCU1FC4.=" U0000U03AE"
+CDTiotaU03AE:="U1FC4"
+CRCU1FC4.=" TiotaU03AE"
CDSCompU03B9U03AE:="U1FC4"
CRCU1FC4.=" SCompU03B9U03AE"
-CDU0000TacutU03B7:="U1FC4"
-CRCU1FC4.=" U0000TacutU03B7"
-CDU0000SCompU00B4U03B7:="U1FC4"
-CRCU1FC4.=" U0000SCompU00B4U03B7"
-CDU0000SCompU0027U03B7:="U1FC4"
-CRCU1FC4.=" U0000SCompU0027U03B7"
+CDTiotaTacutU03B7:="U1FC4"
+CRCU1FC4.=" TiotaTacutU03B7"
+CDTiotaSCompU00B4U03B7:="U1FC4"
+CRCU1FC4.=" TiotaSCompU00B4U03B7"
+CDTiotaSCompU0027U03B7:="U1FC4"
+CRCU1FC4.=" TiotaSCompU0027U03B7"
CDSCompU03B9TacutU03B7:="U1FC4"
CRCU1FC4.=" SCompU03B9TacutU03B7"
CDSCompU03B9U00B4U03B7:="U1FC4"
CRCU1FC4.=" SCompU03B9U00B4U03B7"
CDSCompU03B9U0027U03B7:="U1FC4"
CRCU1FC4.=" SCompU03B9U0027U03B7"
-CDU0000U0000U03B7:="U1FC4"
-CRCU1FC4.=" U0000U0000U03B7"
-CDSCompU03B9U0000U03B7:="U1FC4"
-CRCU1FC4.=" SCompU03B9U0000U03B7"
+CDTiotaTCactU03B7:="U1FC4"
+CRCU1FC4.=" TiotaTCactU03B7"
+CDSCompU03B9TCactU03B7:="U1FC4"
+CRCU1FC4.=" SCompU03B9TCactU03B7"
CDU0342U03B7:="U1FC6"
CRCU1FC6.=" U0342U03B7"
CDTtldeU03B7:="U1FC6"
CRCU1FC6.=" TtldeU03B7"
CDSCompU007EU03B7:="U1FC6"
CRCU1FC6.=" SCompU007EU03B7"
-CDU0000U1fc6:="U1FC7"
-CRCU1FC7.=" U0000U1fc6"
+CDTiotaU1fc6:="U1FC7"
+CRCU1FC7.=" TiotaU1fc6"
CDSCompU03B9U1fc6:="U1FC7"
CRCU1FC7.=" SCompU03B9U1fc6"
-CDU0000U0342U03B7:="U1FC7"
-CRCU1FC7.=" U0000U0342U03B7"
-CDU0000TtldeU03B7:="U1FC7"
-CRCU1FC7.=" U0000TtldeU03B7"
-CDU0000SCompU007EU03B7:="U1FC7"
-CRCU1FC7.=" U0000SCompU007EU03B7"
+CDTiotaU0342U03B7:="U1FC7"
+CRCU1FC7.=" TiotaU0342U03B7"
+CDTiotaTtldeU03B7:="U1FC7"
+CRCU1FC7.=" TiotaTtldeU03B7"
+CDTiotaSCompU007EU03B7:="U1FC7"
+CRCU1FC7.=" TiotaSCompU007EU03B7"
CDSCompU03B9U0342U03B7:="U1FC7"
CRCU1FC7.=" SCompU03B9U0342U03B7"
CDSCompU03B9TtldeU03B7:="U1FC7"
@@ -9939,16 +10018,16 @@ CDTgravU0395:="U1FC8"
CRCU1FC8.=" TgravU0395"
CDSCompU0060U0395:="U1FC8"
CRCU1FC8.=" SCompU0060U0395"
-CDU0000U0395:="U1FC8"
-CRCU1FC8.=" U0000U0395"
+CDTCgrvU0395:="U1FC8"
+CRCU1FC8.=" TCgrvU0395"
CDTgravU0397:="U1FCA"
CRCU1FCA.=" TgravU0397"
CDSCompU0060U0397:="U1FCA"
CRCU1FCA.=" SCompU0060U0397"
-CDU0000U0397:="U1FCA"
-CRCU1FCA.=" U0000U0397"
-CDU0000U0397:="U1FCC"
-CRCU1FCC.=" U0000U0397"
+CDTCgrvU0397:="U1FCA"
+CRCU1FCA.=" TCgrvU0397"
+CDTiotaU0397:="U1FCC"
+CRCU1FCC.=" TiotaU0397"
CDSCompU03B9U0397:="U1FCC"
CRCU1FCC.=" SCompU03B9U0397"
CMSCompU1fbf:=1
@@ -9956,16 +10035,16 @@ CDSCompU1fbfTgrav:="U1FCD"
CRCU1FCD.=" SCompU1fbfTgrav"
CDSCompU1fbfU0060:="U1FCD"
CRCU1FCD.=" SCompU1fbfU0060"
-CDSCompU1fbfU0000:="U1FCD"
-CRCU1FCD.=" SCompU1fbfU0000"
+CDSCompU1fbfTCgrv:="U1FCD"
+CRCU1FCD.=" SCompU1fbfTCgrv"
CDSCompU1fbfTacut:="U1FCE"
CRCU1FCE.=" SCompU1fbfTacut"
CDSCompU1fbfU00B4:="U1FCE"
CRCU1FCE.=" SCompU1fbfU00B4"
CDSCompU1fbfU0027:="U1FCE"
CRCU1FCE.=" SCompU1fbfU0027"
-CDSCompU1fbfU0000:="U1FCE"
-CRCU1FCE.=" SCompU1fbfU0000"
+CDSCompU1fbfTCact:="U1FCE"
+CRCU1FCE.=" SCompU1fbfTCact"
CDSCompU1fbfU0342:="U1FCF"
CRCU1FCF.=" SCompU1fbfU0342"
CDSCompU1fbfTtlde:="U1FCF"
@@ -9996,12 +10075,12 @@ CDSCompU0060TdrssU03B9:="U1FD2"
CRCU1FD2.=" SCompU0060TdrssU03B9"
CDSCompU0060U0022U03B9:="U1FD2"
CRCU1FD2.=" SCompU0060U0022U03B9"
-CDU0000U03CA:="U1FD2"
-CRCU1FD2.=" U0000U03CA"
-CDU0000TdrssU03B9:="U1FD2"
-CRCU1FD2.=" U0000TdrssU03B9"
-CDU0000SCompU0022U03B9:="U1FD2"
-CRCU1FD2.=" U0000SCompU0022U03B9"
+CDTCgrvU03CA:="U1FD2"
+CRCU1FD2.=" TCgrvU03CA"
+CDTCgrvTdrssU03B9:="U1FD2"
+CRCU1FD2.=" TCgrvTdrssU03B9"
+CDTCgrvSCompU0022U03B9:="U1FD2"
+CRCU1FD2.=" TCgrvSCompU0022U03B9"
CDU0342U03B9:="U1FD6"
CRCU1FD6.=" U0342U03B9"
CDTtldeU03B9:="U1FD6"
@@ -10048,23 +10127,23 @@ CDTgravU0399:="U1FDA"
CRCU1FDA.=" TgravU0399"
CDSCompU0060U0399:="U1FDA"
CRCU1FDA.=" SCompU0060U0399"
-CDU0000U0399:="U1FDA"
-CRCU1FDA.=" U0000U0399"
+CDTCgrvU0399:="U1FDA"
+CRCU1FDA.=" TCgrvU0399"
CMSCompU1ffe:=1
CDSCompU1ffeTgrav:="U1FDD"
CRCU1FDD.=" SCompU1ffeTgrav"
CDSCompU1ffeU0060:="U1FDD"
CRCU1FDD.=" SCompU1ffeU0060"
-CDSCompU1ffeU0000:="U1FDD"
-CRCU1FDD.=" SCompU1ffeU0000"
+CDSCompU1ffeTCgrv:="U1FDD"
+CRCU1FDD.=" SCompU1ffeTCgrv"
CDSCompU1ffeTacut:="U1FDE"
CRCU1FDE.=" SCompU1ffeTacut"
CDSCompU1ffeU00B4:="U1FDE"
CRCU1FDE.=" SCompU1ffeU00B4"
CDSCompU1ffeU0027:="U1FDE"
CRCU1FDE.=" SCompU1ffeU0027"
-CDSCompU1ffeU0000:="U1FDE"
-CRCU1FDE.=" SCompU1ffeU0000"
+CDSCompU1ffeTCact:="U1FDE"
+CRCU1FDE.=" SCompU1ffeTCact"
CDSCompU1ffeU0342:="U1FDF"
CRCU1FDF.=" SCompU1ffeU0342"
CDSCompU1ffeTtlde:="U1FDF"
@@ -10095,12 +10174,12 @@ CDSCompU0060TdrssU03C5:="U1FE2"
CRCU1FE2.=" SCompU0060TdrssU03C5"
CDSCompU0060U0022U03C5:="U1FE2"
CRCU1FE2.=" SCompU0060U0022U03C5"
-CDU0000U03CB:="U1FE2"
-CRCU1FE2.=" U0000U03CB"
-CDU0000TdrssU03C5:="U1FE2"
-CRCU1FE2.=" U0000TdrssU03C5"
-CDU0000SCompU0022U03C5:="U1FE2"
-CRCU1FE2.=" U0000SCompU0022U03C5"
+CDTCgrvU03CB:="U1FE2"
+CRCU1FE2.=" TCgrvU03CB"
+CDTCgrvTdrssU03C5:="U1FE2"
+CRCU1FE2.=" TCgrvTdrssU03C5"
+CDTCgrvSCompU0022U03C5:="U1FE2"
+CRCU1FE2.=" TCgrvSCompU0022U03C5"
CDU0313U03C1:="U1FE4"
CRCU1FE4.=" U0313U03C1"
CDSCompU0029U03C1:="U1FE4"
@@ -10149,8 +10228,8 @@ CDTgravU03A5:="U1FEA"
CRCU1FEA.=" TgravU03A5"
CDSCompU0060U03A5:="U1FEA"
CRCU1FEA.=" SCompU0060U03A5"
-CDU0000U03A5:="U1FEA"
-CRCU1FEA.=" U0000U03A5"
+CDTCgrvU03A5:="U1FEA"
+CRCU1FEA.=" TCgrvU03A5"
CDU0314U03A1:="U1FEC"
CRCU1FEC.=" U0314U03A1"
CDSCompU0028U03A1:="U1FEC"
@@ -10159,64 +10238,64 @@ CDSCompU00A8Tgrav:="U1FED"
CRCU1FED.=" SCompU00A8Tgrav"
CDSCompU00A8U0060:="U1FED"
CRCU1FED.=" SCompU00A8U0060"
-CDSCompU00A8U0000:="U1FED"
-CRCU1FED.=" SCompU00A8U0000"
-CDU0000U1f7c:="U1FF2"
-CRCU1FF2.=" U0000U1f7c"
+CDSCompU00A8TCgrv:="U1FED"
+CRCU1FED.=" SCompU00A8TCgrv"
+CDTiotaU1f7c:="U1FF2"
+CRCU1FF2.=" TiotaU1f7c"
CDSCompU03B9U1f7c:="U1FF2"
CRCU1FF2.=" SCompU03B9U1f7c"
-CDU0000TgravU03C9:="U1FF2"
-CRCU1FF2.=" U0000TgravU03C9"
-CDU0000SCompU0060U03C9:="U1FF2"
-CRCU1FF2.=" U0000SCompU0060U03C9"
+CDTiotaTgravU03C9:="U1FF2"
+CRCU1FF2.=" TiotaTgravU03C9"
+CDTiotaSCompU0060U03C9:="U1FF2"
+CRCU1FF2.=" TiotaSCompU0060U03C9"
CDSCompU03B9TgravU03C9:="U1FF2"
CRCU1FF2.=" SCompU03B9TgravU03C9"
CDSCompU03B9U0060U03C9:="U1FF2"
CRCU1FF2.=" SCompU03B9U0060U03C9"
-CDU0000U0000U03C9:="U1FF2"
-CRCU1FF2.=" U0000U0000U03C9"
-CDSCompU03B9U0000U03C9:="U1FF2"
-CRCU1FF2.=" SCompU03B9U0000U03C9"
-CDU0000U03C9:="U1FF3"
-CRCU1FF3.=" U0000U03C9"
+CDTiotaTCgrvU03C9:="U1FF2"
+CRCU1FF2.=" TiotaTCgrvU03C9"
+CDSCompU03B9TCgrvU03C9:="U1FF2"
+CRCU1FF2.=" SCompU03B9TCgrvU03C9"
+CDTiotaU03C9:="U1FF3"
+CRCU1FF3.=" TiotaU03C9"
CDSCompU03B9U03C9:="U1FF3"
CRCU1FF3.=" SCompU03B9U03C9"
-CDU0000U03CE:="U1FF4"
-CRCU1FF4.=" U0000U03CE"
+CDTiotaU03CE:="U1FF4"
+CRCU1FF4.=" TiotaU03CE"
CDSCompU03B9U03CE:="U1FF4"
CRCU1FF4.=" SCompU03B9U03CE"
-CDU0000TacutU03C9:="U1FF4"
-CRCU1FF4.=" U0000TacutU03C9"
-CDU0000SCompU00B4U03C9:="U1FF4"
-CRCU1FF4.=" U0000SCompU00B4U03C9"
-CDU0000SCompU0027U03C9:="U1FF4"
-CRCU1FF4.=" U0000SCompU0027U03C9"
+CDTiotaTacutU03C9:="U1FF4"
+CRCU1FF4.=" TiotaTacutU03C9"
+CDTiotaSCompU00B4U03C9:="U1FF4"
+CRCU1FF4.=" TiotaSCompU00B4U03C9"
+CDTiotaSCompU0027U03C9:="U1FF4"
+CRCU1FF4.=" TiotaSCompU0027U03C9"
CDSCompU03B9TacutU03C9:="U1FF4"
CRCU1FF4.=" SCompU03B9TacutU03C9"
CDSCompU03B9U00B4U03C9:="U1FF4"
CRCU1FF4.=" SCompU03B9U00B4U03C9"
CDSCompU03B9U0027U03C9:="U1FF4"
CRCU1FF4.=" SCompU03B9U0027U03C9"
-CDU0000U0000U03C9:="U1FF4"
-CRCU1FF4.=" U0000U0000U03C9"
-CDSCompU03B9U0000U03C9:="U1FF4"
-CRCU1FF4.=" SCompU03B9U0000U03C9"
+CDTiotaTCactU03C9:="U1FF4"
+CRCU1FF4.=" TiotaTCactU03C9"
+CDSCompU03B9TCactU03C9:="U1FF4"
+CRCU1FF4.=" SCompU03B9TCactU03C9"
CDU0342U03C9:="U1FF6"
CRCU1FF6.=" U0342U03C9"
CDTtldeU03C9:="U1FF6"
CRCU1FF6.=" TtldeU03C9"
CDSCompU007EU03C9:="U1FF6"
CRCU1FF6.=" SCompU007EU03C9"
-CDU0000U1ff6:="U1FF7"
-CRCU1FF7.=" U0000U1ff6"
+CDTiotaU1ff6:="U1FF7"
+CRCU1FF7.=" TiotaU1ff6"
CDSCompU03B9U1ff6:="U1FF7"
CRCU1FF7.=" SCompU03B9U1ff6"
-CDU0000U0342U03C9:="U1FF7"
-CRCU1FF7.=" U0000U0342U03C9"
-CDU0000TtldeU03C9:="U1FF7"
-CRCU1FF7.=" U0000TtldeU03C9"
-CDU0000SCompU007EU03C9:="U1FF7"
-CRCU1FF7.=" U0000SCompU007EU03C9"
+CDTiotaU0342U03C9:="U1FF7"
+CRCU1FF7.=" TiotaU0342U03C9"
+CDTiotaTtldeU03C9:="U1FF7"
+CRCU1FF7.=" TiotaTtldeU03C9"
+CDTiotaSCompU007EU03C9:="U1FF7"
+CRCU1FF7.=" TiotaSCompU007EU03C9"
CDSCompU03B9U0342U03C9:="U1FF7"
CRCU1FF7.=" SCompU03B9U0342U03C9"
CDSCompU03B9TtldeU03C9:="U1FF7"
@@ -10227,16 +10306,16 @@ CDTgravU039F:="U1FF8"
CRCU1FF8.=" TgravU039F"
CDSCompU0060U039F:="U1FF8"
CRCU1FF8.=" SCompU0060U039F"
-CDU0000U039F:="U1FF8"
-CRCU1FF8.=" U0000U039F"
+CDTCgrvU039F:="U1FF8"
+CRCU1FF8.=" TCgrvU039F"
CDTgravU03A9:="U1FFA"
CRCU1FFA.=" TgravU03A9"
CDSCompU0060U03A9:="U1FFA"
CRCU1FFA.=" SCompU0060U03A9"
-CDU0000U03A9:="U1FFA"
-CRCU1FFA.=" U0000U03A9"
-CDU0000U03A9:="U1FFC"
-CRCU1FFC.=" U0000U03A9"
+CDTCgrvU03A9:="U1FFA"
+CRCU1FFA.=" TCgrvU03A9"
+CDTiotaU03A9:="U1FFC"
+CRCU1FFC.=" TiotaU03A9"
CDSCompU03B9U03A9:="U1FFC"
CRCU1FFC.=" SCompU03B9U03A9"
CDTcflxU0030:="U2070"
@@ -10962,122 +11041,124 @@ CRCU24EA.=" SCompU0028SN__0U0029"
CMSCompU2add:=1
CDSCompU2addU0338:="U2ADC"
CRCU2ADC.=" SCompU2addU0338"
-CDU0000U304b:="U304C"
-CRCU304C.=" U0000U304b"
-CDU0000U304d:="U304E"
-CRCU304E.=" U0000U304d"
-CDU0000U304f:="U3050"
-CRCU3050.=" U0000U304f"
-CDU0000U3051:="U3052"
-CRCU3052.=" U0000U3051"
-CDU0000U3053:="U3054"
-CRCU3054.=" U0000U3053"
-CDU0000U3055:="U3056"
-CRCU3056.=" U0000U3055"
-CDU0000U3057:="U3058"
-CRCU3058.=" U0000U3057"
-CDU0000U3059:="U305A"
-CRCU305A.=" U0000U3059"
-CDU0000U305b:="U305C"
-CRCU305C.=" U0000U305b"
-CDU0000U305d:="U305E"
-CRCU305E.=" U0000U305d"
-CDU0000U305f:="U3060"
-CRCU3060.=" U0000U305f"
-CDU0000U3061:="U3062"
-CRCU3062.=" U0000U3061"
-CDU0000U3064:="U3065"
-CRCU3065.=" U0000U3064"
-CDU0000U3066:="U3067"
-CRCU3067.=" U0000U3066"
-CDU0000U3068:="U3069"
-CRCU3069.=" U0000U3068"
-CDU0000U306f:="U3070"
-CRCU3070.=" U0000U306f"
-CDU0000U306f:="U3071"
-CRCU3071.=" U0000U306f"
-CDU0000U3072:="U3073"
-CRCU3073.=" U0000U3072"
-CDU0000U3072:="U3074"
-CRCU3074.=" U0000U3072"
-CDU0000U3075:="U3076"
-CRCU3076.=" U0000U3075"
-CDU0000U3075:="U3077"
-CRCU3077.=" U0000U3075"
-CDU0000U3078:="U3079"
-CRCU3079.=" U0000U3078"
-CDU0000U3078:="U307A"
-CRCU307A.=" U0000U3078"
-CDU0000U307b:="U307C"
-CRCU307C.=" U0000U307b"
-CDU0000U307b:="U307D"
-CRCU307D.=" U0000U307b"
-CDU0000U3046:="U3094"
-CRCU3094.=" U0000U3046"
-CDU0000U309d:="U309E"
-CRCU309E.=" U0000U309d"
-CDU0000U30AB:="U30AC"
-CRCU30AC.=" U0000U30AB"
-CDU0000U30AD:="U30AE"
-CRCU30AE.=" U0000U30AD"
-CDU0000U30AF:="U30B0"
-CRCU30B0.=" U0000U30AF"
-CDU0000U30B1:="U30B2"
-CRCU30B2.=" U0000U30B1"
-CDU0000U30B3:="U30B4"
-CRCU30B4.=" U0000U30B3"
-CDU0000U30B5:="U30B6"
-CRCU30B6.=" U0000U30B5"
-CDU0000U30B7:="U30B8"
-CRCU30B8.=" U0000U30B7"
-CDU0000U30B9:="U30BA"
-CRCU30BA.=" U0000U30B9"
-CDU0000U30BB:="U30BC"
-CRCU30BC.=" U0000U30BB"
-CDU0000U30BD:="U30BE"
-CRCU30BE.=" U0000U30BD"
-CDU0000U30BF:="U30C0"
-CRCU30C0.=" U0000U30BF"
-CDU0000U30C1:="U30C2"
-CRCU30C2.=" U0000U30C1"
-CDU0000U30C4:="U30C5"
-CRCU30C5.=" U0000U30C4"
-CDU0000U30C6:="U30C7"
-CRCU30C7.=" U0000U30C6"
-CDU0000U30C8:="U30C9"
-CRCU30C9.=" U0000U30C8"
-CDU0000U30CF:="U30D0"
-CRCU30D0.=" U0000U30CF"
-CDU0000U30CF:="U30D1"
-CRCU30D1.=" U0000U30CF"
-CDU0000U30D2:="U30D3"
-CRCU30D3.=" U0000U30D2"
-CDU0000U30D2:="U30D4"
-CRCU30D4.=" U0000U30D2"
-CDU0000U30D5:="U30D6"
-CRCU30D6.=" U0000U30D5"
-CDU0000U30D5:="U30D7"
-CRCU30D7.=" U0000U30D5"
-CDU0000U30D8:="U30D9"
-CRCU30D9.=" U0000U30D8"
-CDU0000U30D8:="U30DA"
-CRCU30DA.=" U0000U30D8"
-CDU0000U30DB:="U30DC"
-CRCU30DC.=" U0000U30DB"
-CDU0000U30DB:="U30DD"
-CRCU30DD.=" U0000U30DB"
-CDU0000U30A6:="U30F4"
-CRCU30F4.=" U0000U30A6"
-CDU0000U30EF:="U30F7"
-CRCU30F7.=" U0000U30EF"
-CDU0000U30f0:="U30F8"
-CRCU30F8.=" U0000U30f0"
-CDU0000U30f1:="U30F9"
-CRCU30F9.=" U0000U30f1"
-CDU0000U30F2:="U30FA"
-CRCU30FA.=" U0000U30F2"
-CDU0000U30fd:="U30FE"
-CRCU30FE.=" U0000U30fd"
+CMTvsnd:=1
+CDTvsndU304b:="U304C"
+CRCU304C.=" TvsndU304b"
+CDTvsndU304d:="U304E"
+CRCU304E.=" TvsndU304d"
+CDTvsndU304f:="U3050"
+CRCU3050.=" TvsndU304f"
+CDTvsndU3051:="U3052"
+CRCU3052.=" TvsndU3051"
+CDTvsndU3053:="U3054"
+CRCU3054.=" TvsndU3053"
+CDTvsndU3055:="U3056"
+CRCU3056.=" TvsndU3055"
+CDTvsndU3057:="U3058"
+CRCU3058.=" TvsndU3057"
+CDTvsndU3059:="U305A"
+CRCU305A.=" TvsndU3059"
+CDTvsndU305b:="U305C"
+CRCU305C.=" TvsndU305b"
+CDTvsndU305d:="U305E"
+CRCU305E.=" TvsndU305d"
+CDTvsndU305f:="U3060"
+CRCU3060.=" TvsndU305f"
+CDTvsndU3061:="U3062"
+CRCU3062.=" TvsndU3061"
+CDTvsndU3064:="U3065"
+CRCU3065.=" TvsndU3064"
+CDTvsndU3066:="U3067"
+CRCU3067.=" TvsndU3066"
+CDTvsndU3068:="U3069"
+CRCU3069.=" TvsndU3068"
+CDTvsndU306f:="U3070"
+CRCU3070.=" TvsndU306f"
+CMTssnd:=1
+CDTssndU306f:="U3071"
+CRCU3071.=" TssndU306f"
+CDTvsndU3072:="U3073"
+CRCU3073.=" TvsndU3072"
+CDTssndU3072:="U3074"
+CRCU3074.=" TssndU3072"
+CDTvsndU3075:="U3076"
+CRCU3076.=" TvsndU3075"
+CDTssndU3075:="U3077"
+CRCU3077.=" TssndU3075"
+CDTvsndU3078:="U3079"
+CRCU3079.=" TvsndU3078"
+CDTssndU3078:="U307A"
+CRCU307A.=" TssndU3078"
+CDTvsndU307b:="U307C"
+CRCU307C.=" TvsndU307b"
+CDTssndU307b:="U307D"
+CRCU307D.=" TssndU307b"
+CDTvsndU3046:="U3094"
+CRCU3094.=" TvsndU3046"
+CDTvsndU309d:="U309E"
+CRCU309E.=" TvsndU309d"
+CDTvsndU30AB:="U30AC"
+CRCU30AC.=" TvsndU30AB"
+CDTvsndU30AD:="U30AE"
+CRCU30AE.=" TvsndU30AD"
+CDTvsndU30AF:="U30B0"
+CRCU30B0.=" TvsndU30AF"
+CDTvsndU30B1:="U30B2"
+CRCU30B2.=" TvsndU30B1"
+CDTvsndU30B3:="U30B4"
+CRCU30B4.=" TvsndU30B3"
+CDTvsndU30B5:="U30B6"
+CRCU30B6.=" TvsndU30B5"
+CDTvsndU30B7:="U30B8"
+CRCU30B8.=" TvsndU30B7"
+CDTvsndU30B9:="U30BA"
+CRCU30BA.=" TvsndU30B9"
+CDTvsndU30BB:="U30BC"
+CRCU30BC.=" TvsndU30BB"
+CDTvsndU30BD:="U30BE"
+CRCU30BE.=" TvsndU30BD"
+CDTvsndU30BF:="U30C0"
+CRCU30C0.=" TvsndU30BF"
+CDTvsndU30C1:="U30C2"
+CRCU30C2.=" TvsndU30C1"
+CDTvsndU30C4:="U30C5"
+CRCU30C5.=" TvsndU30C4"
+CDTvsndU30C6:="U30C7"
+CRCU30C7.=" TvsndU30C6"
+CDTvsndU30C8:="U30C9"
+CRCU30C9.=" TvsndU30C8"
+CDTvsndU30CF:="U30D0"
+CRCU30D0.=" TvsndU30CF"
+CDTssndU30CF:="U30D1"
+CRCU30D1.=" TssndU30CF"
+CDTvsndU30D2:="U30D3"
+CRCU30D3.=" TvsndU30D2"
+CDTssndU30D2:="U30D4"
+CRCU30D4.=" TssndU30D2"
+CDTvsndU30D5:="U30D6"
+CRCU30D6.=" TvsndU30D5"
+CDTssndU30D5:="U30D7"
+CRCU30D7.=" TssndU30D5"
+CDTvsndU30D8:="U30D9"
+CRCU30D9.=" TvsndU30D8"
+CDTssndU30D8:="U30DA"
+CRCU30DA.=" TssndU30D8"
+CDTvsndU30DB:="U30DC"
+CRCU30DC.=" TvsndU30DB"
+CDTssndU30DB:="U30DD"
+CRCU30DD.=" TssndU30DB"
+CDTvsndU30A6:="U30F4"
+CRCU30F4.=" TvsndU30A6"
+CDTvsndU30EF:="U30F7"
+CRCU30F7.=" TvsndU30EF"
+CDTvsndU30f0:="U30F8"
+CRCU30F8.=" TvsndU30f0"
+CDTvsndU30f1:="U30F9"
+CRCU30F9.=" TvsndU30f1"
+CDTvsndU30F2:="U30FA"
+CRCU30FA.=" TvsndU30F2"
+CDTvsndU30fd:="U30FE"
+CRCU30FE.=" TvsndU30fd"
CDTcflxU4e00:="U3192"
CRCU3192.=" TcflxU4e00"
CDSCompU005EU4e00:="U3192"
@@ -11973,28 +12054,28 @@ CDSCompU05bcU05D0:="UFB30"
CRCUFB30.=" SCompU05bcU05D0"
CDSCompU05bcU05D1:="UFB31"
CRCUFB31.=" SCompU05bcU05D1"
-CDSCompU05bcU0000:="UFB31"
-CRCUFB31.=" SCompU05bcU0000"
+CDSCompU05bcU05D1:="UFB31"
+CRCUFB31.=" SCompU05bcU05D1"
+CDSCompU05bcU05D2:="UFB32"
+CRCUFB32.=" SCompU05bcU05D2"
CDSCompU05bcU05D2:="UFB32"
CRCUFB32.=" SCompU05bcU05D2"
-CDSCompU05bcU0000:="UFB32"
-CRCUFB32.=" SCompU05bcU0000"
CDSCompU05bcU05D3:="UFB33"
CRCUFB33.=" SCompU05bcU05D3"
-CDSCompU05bcU0000:="UFB33"
-CRCUFB33.=" SCompU05bcU0000"
+CDSCompU05bcU05D3:="UFB33"
+CRCUFB33.=" SCompU05bcU05D3"
CDSCompU05bcU05D4:="UFB34"
CRCUFB34.=" SCompU05bcU05D4"
CDSCompU05bcU05D5:="UFB35"
CRCUFB35.=" SCompU05bcU05D5"
CDSCompU05bcU05D6:="UFB36"
CRCUFB36.=" SCompU05bcU05D6"
-CDSCompU05bcU0000:="UFB36"
-CRCUFB36.=" SCompU05bcU0000"
+CDSCompU05bcU05D6:="UFB36"
+CRCUFB36.=" SCompU05bcU05D6"
+CDSCompU05bcU05D8:="UFB38"
+CRCUFB38.=" SCompU05bcU05D8"
CDSCompU05bcU05D8:="UFB38"
CRCUFB38.=" SCompU05bcU05D8"
-CDSCompU05bcU0000:="UFB38"
-CRCUFB38.=" SCompU05bcU0000"
CDSCompU05bcU05D9:="UFB39"
CRCUFB39.=" SCompU05bcU05D9"
CDSCompU05bcU05DA:="UFB3A"
@@ -12009,26 +12090,26 @@ CDSCompU05bcU05E0:="UFB40"
CRCUFB40.=" SCompU05bcU05E0"
CDSCompU05bcU05E1:="UFB41"
CRCUFB41.=" SCompU05bcU05E1"
-CDSCompU05bcU0000:="UFB41"
-CRCUFB41.=" SCompU05bcU0000"
+CDSCompU05bcU05E1:="UFB41"
+CRCUFB41.=" SCompU05bcU05E1"
CDSCompU05bcU05E3:="UFB43"
CRCUFB43.=" SCompU05bcU05E3"
CDSCompU05bcU05E4:="UFB44"
CRCUFB44.=" SCompU05bcU05E4"
CDSCompU05bcU05E6:="UFB46"
CRCUFB46.=" SCompU05bcU05E6"
-CDSCompU05bcU0000:="UFB46"
-CRCUFB46.=" SCompU05bcU0000"
-CDSCompU05bcU0000:="UFB47"
-CRCUFB47.=" SCompU05bcU0000"
+CDSCompU05bcU05E6:="UFB46"
+CRCUFB46.=" SCompU05bcU05E6"
+CDSCompU05bcU05E7:="UFB47"
+CRCUFB47.=" SCompU05bcU05E7"
CDSCompU05bcU05E7:="UFB47"
CRCUFB47.=" SCompU05bcU05E7"
CDSCompU05bcU05E8:="UFB48"
CRCUFB48.=" SCompU05bcU05E8"
CDSCompU05bcU05E9:="UFB49"
CRCUFB49.=" SCompU05bcU05E9"
-CDSCompU05bcU0000:="UFB4A"
-CRCUFB4A.=" SCompU05bcU0000"
+CDSCompU05bcU05EA:="UFB4A"
+CRCUFB4A.=" SCompU05bcU05EA"
CDSCompU05bcU05EA:="UFB4A"
CRCUFB4A.=" SCompU05bcU05EA"
CMSCompU05b9:=1
@@ -12037,8 +12118,8 @@ CRCUFB4B.=" SCompU05b9U05D5"
CMSCompU05bf:=1
CDSCompU05bfU05D1:="UFB4C"
CRCUFB4C.=" SCompU05bfU05D1"
-CDSCompU05bfU0000:="UFB4C"
-CRCUFB4C.=" SCompU05bfU0000"
+CDSCompU05bfU05D1:="UFB4C"
+CRCUFB4C.=" SCompU05bfU05D1"
CDSCompU05bfU05DB:="UFB4D"
CRCUFB4D.=" SCompU05bfU05DB"
CDSCompU05bfU05E4:="UFB4E"
@@ -13965,10 +14046,10 @@ CDTacutSCompU0067U0059:="U038E"
CRCU038E.=" TacutSCompU0067U0059"
CDTacutSCompU0047U0059:="U038E"
CRCU038E.=" TacutSCompU0047U0059"
-CDU1FFESCompU0067U0000:="U1FEC"
-CRCU1FEC.=" U1FFESCompU0067U0000"
-CDU1FFESCompU0047U0000:="U1FEC"
-CRCU1FEC.=" U1FFESCompU0047U0000"
+CDU1FFESCompU0067U03A1:="U1FEC"
+CRCU1FEC.=" U1FFESCompU0067U03A1"
+CDU1FFESCompU0047U03A1:="U1FEC"
+CRCU1FEC.=" U1FFESCompU0047U03A1"
CDTgravSCompU0067U004F:="U1FF8"
CRCU1FF8.=" TgravSCompU0067U004F"
CDTgravSCompU0047U004F:="U1FF8"
@@ -14593,11 +14674,10 @@ CDTcronU003D:="U225A"
CRCU225A.=" TcronU003D"
CDTcflxU003D:="U2259"
CRCU2259.=" TcflxU003D"
-CMSCompU0000:=1
-CDSCompU0000U003D:="U2259"
-CRCU2259.=" SCompU0000U003D"
-CDSCompU003DU0000:="U2259"
-CRCU2259.=" SCompU003DU0000"
+CDSCompU005EU003D:="U2259"
+CRCU2259.=" SCompU005EU003D"
+CDSCompU003DU005E:="U2259"
+CRCU2259.=" SCompU003DU005E"
CMSCompSNAdd:=1
CDSCompSNAddSNSub:="U00B1"
CRCU00B1.=" SCompSNAddSNSub"
@@ -14615,13 +14695,14 @@ CMSCompU003DTstrk:=1
CDSCompU003DTstrkTstrk:="U2260"
CRCU2260.=" SCompU003DTstrkTstrk"
CMSCompSNDiv:=1
-CDSCompSNDivU0000:="U2260"
-CRCU2260.=" SCompSNDivU0000"
-CDTstrkU0000:="U2260"
-CRCU2260.=" TstrkU0000"
-CMSCompU0000Tstrk:=1
-CDSCompU0000TstrkTstrk:="U2260"
-CRCU2260.=" SCompU0000TstrkTstrk"
+CDSCompSNDivSNEql:="U2260"
+CRCU2260.=" SCompSNDivSNEql"
+CDTstrkSNEql:="U2260"
+CRCU2260.=" TstrkSNEql"
+CMSCompSNEql:=1
+CMSCompSNEqlTstrk:=1
+CDSCompSNEqlTstrkTstrk:="U2260"
+CRCU2260.=" SCompSNEqlTstrkTstrk"
CDSCompU003CU003E:="U2260"
CRCU2260.=" SCompU003CU003E"
CDSCompU002FU2203:="U2204"
diff --git a/windows/neo-vars/src/source/makecompose.ahk b/windows/neo-vars/src/source/makecompose.ahk
index 86edf68..544e583 100644
--- a/windows/neo-vars/src/source/makecompose.ahk
+++ b/windows/neo-vars/src/source/makecompose.ahk
@@ -2,1761 +2,1789 @@
; AHK versteht zwar kein utf-8, aber so lässt sich’s doch leichter eingeben.
-check(name,val) {
+DefineXKBSym(name,val) {
global
- if ((XSym == name) and (ResName == "")) {
- ResName := val
- return 1
- }
- return 0
+ nlen := StrLen(name)
+ KSYM%nlen% .= name . " "
+ KSCD%nlen% .= val
}
-convertXkbsym(X) {
- global
- XSym := X
- ResName := ""
- check("BackSpace" ,"U0008")
- check("Tab" ,"U0009")
- check("Return" ,"U000D")
- check("Delete" ,"S_Del")
- check("Multi_key" ,"SComp")
- check("Home" ,"SHome")
- check("Left" ,"SLeft")
- check("Up" ,"S__Up")
- check("Right" ,"SRght")
- check("Down" ,"SDown")
- check("Prior" ,"SPgUp")
- check("Page_Up" ,"SPgUp")
- check("Next" ,"SPgDn")
- check("Page_Down" ,"SPgDn")
- check("End" ,"S_End")
- check("Insert" ,"S_Ins")
- check("KP_Space" ,"SNSpc") ; not
- check("KP_Tab" ,"SNTab") ; not
- check("KP_Enter" ,"SNEnt")
- check("KP_F1" ,"SNF_1") ; not
- check("KP_F2" ,"SNF_2") ; not
- check("KP_F3" ,"SNF_3") ; not
- check("KP_F4" ,"SNF_4") ; not
- check("KP_Home" ,"SNHom")
- check("KP_Left" ,"SN_Le")
- check("KP_Up" ,"SN_Up")
- check("KP_Right" ,"SN_Ri")
- check("KP_Down" ,"SN_Dn")
- check("KP_Prior" ,"SNPUp")
- check("KP_Page_Up" ,"SNPUp")
- check("KP_Next" ,"SNPDn")
- check("KP_Page_Down" ,"SNPDn")
- check("KP_End" ,"SNEnd")
- check("KP_Begin" ,"SNBgn") ; not
- check("KP_Insert" ,"SNIns")
- check("KP_Delete" ,"SNDel")
- check("KP_Equal" ,"SNEql") ; not
- check("KP_Multiply" ,"SNMul")
- check("KP_Add" ,"SNAdd")
- check("KP_Separator" ,"SNSep") ; not
- check("KP_Subtract" ,"SNSub")
- check("KP_Decimal" ,"SNDot")
- check("KP_Divide" ,"SNDiv")
- check("KP_0" ,"SN__0")
- check("KP_1" ,"SN__1")
- check("KP_2" ,"SN__2")
- check("KP_3" ,"SN__3")
- check("KP_4" ,"SN__4")
- check("KP_5" ,"SN__5")
- check("KP_6" ,"SN__6")
- check("KP_7" ,"SN__7")
- check("KP_8" ,"SN__8")
- check("KP_9" ,"SN__9")
- check("dead_acute" ,"Tacut")
- check("dead_grave" ,"Tgrav")
- check("dead_cedilla" ,"Tcedi")
- check("dead_abovedot" ,"Tabdt")
- check("dead_ogonek" ,"Togon")
- check("dead_abovering" ,"Tobrg")
- check("dead_circumflex" ,"Tcflx")
- check("dead_caron" ,"Tcron")
- check("dead_breve" ,"Tbrve")
- check("dead_belowdot" ,"Tbldt")
- check("dead_tilde" ,"Ttlde")
- check("dead_macron" ,"Tmcrn")
- check("dead_diaeresis" ,"Tdrss")
- check("dead_doubleacute" ,"Tdbac")
- check("dead_stroke" ,"Tstrk")
- check("space" ,"U0020")
- check("dead_space" ,"U00A0")
- check("exclam" ,"U0021")
- check("quotedbl" ,"U0022")
- check("numbersign" ,"U0023")
- check("dollar" ,"U0024")
- check("percent" ,"U0025")
- check("ampersand" ,"U0026")
- check("apostrophe" ,"U0027")
- check("quoteright" ,"U0027")
- check("parenleft" ,"U0028")
- check("parenright" ,"U0029")
- check("asterisk" ,"U002A")
- check("plus" ,"U002B")
- check("comma" ,"U002C")
- check("minus" ,"U002D")
- check("period" ,"U002E")
- check("slash" ,"U002F")
- check("0" ,"U0030")
- check("1" ,"U0031")
- check("2" ,"U0032")
- check("3" ,"U0033")
- check("4" ,"U0034")
- check("5" ,"U0035")
- check("6" ,"U0036")
- check("7" ,"U0037")
- check("8" ,"U0038")
- check("9" ,"U0039")
- check("colon" ,"U003A")
- check("semicolon" ,"U003B")
- check("less" ,"U003C")
- check("equal" ,"U003D")
- check("greater" ,"U003E")
- check("question" ,"U003F")
- check("at" ,"U0040")
- check("A" ,"U0041")
- check("B" ,"U0042")
- check("C" ,"U0043")
- check("D" ,"U0044")
- check("E" ,"U0045")
- check("F" ,"U0046")
- check("G" ,"U0047")
- check("H" ,"U0048")
- check("I" ,"U0049")
- check("J" ,"U004A")
- check("K" ,"U004B")
- check("L" ,"U004C")
- check("M" ,"U004D")
- check("N" ,"U004E")
- check("O" ,"U004F")
- check("P" ,"U0050")
- check("Q" ,"U0051")
- check("R" ,"U0052")
- check("S" ,"U0053")
- check("T" ,"U0054")
- check("U" ,"U0055")
- check("V" ,"U0056")
- check("W" ,"U0057")
- check("X" ,"U0058")
- check("Y" ,"U0059")
- check("Z" ,"U005A")
- check("bracketleft" ,"U005B")
- check("backslash" ,"U005C")
- check("bracketright" ,"U005D")
- check("asciicircum" ,"U005E")
- check("underscore" ,"U005F")
- check("grave" ,"U0060")
- check("quoteleft" ,"U0060")
- check("a" ,"U0061")
- check("b" ,"U0062")
- check("c" ,"U0063")
- check("d" ,"U0064")
- check("e" ,"U0065")
- check("f" ,"U0066")
- check("g" ,"U0067")
- check("h" ,"U0068")
- check("i" ,"U0069")
- check("j" ,"U006A")
- check("k" ,"U006B")
- check("l" ,"U006C")
- check("m" ,"U006D")
- check("n" ,"U006E")
- check("o" ,"U006F")
- check("p" ,"U0070")
- check("q" ,"U0071")
- check("r" ,"U0072")
- check("s" ,"U0073")
- check("t" ,"U0074")
- check("u" ,"U0075")
- check("v" ,"U0076")
- check("w" ,"U0077")
- check("x" ,"U0078")
- check("y" ,"U0079")
- check("z" ,"U007A")
- check("braceleft" ,"U007B")
- check("bar" ,"U007C")
- check("braceright" ,"U007D")
- check("asciitilde" ,"U007E")
- check("ſ" ,"U017F")
+DefineXKBSyms() {
+ DefineXKBSym("BackSpace" ,"U0008")
+ DefineXKBSym("Tab" ,"U0009")
+ DefineXKBSym("Return" ,"U000D")
+ DefineXKBSym("Delete" ,"S_Del")
+ DefineXKBSym("Multi_key" ,"SComp")
+ DefineXKBSym("Home" ,"SHome")
+ DefineXKBSym("Left" ,"SLeft")
+ DefineXKBSym("Up" ,"S__Up")
+ DefineXKBSym("Right" ,"SRght")
+ DefineXKBSym("Down" ,"SDown")
+ DefineXKBSym("Prior" ,"SPgUp")
+ DefineXKBSym("Page_Up" ,"SPgUp")
+ DefineXKBSym("Next" ,"SPgDn")
+ DefineXKBSym("Page_Down" ,"SPgDn")
+ DefineXKBSym("End" ,"S_End")
+ DefineXKBSym("Insert" ,"S_Ins")
+ DefineXKBSym("KP_Space" ,"SNSpc") ; not
+ DefineXKBSym("KP_Tab" ,"SNTab") ; not
+ DefineXKBSym("KP_Enter" ,"SNEnt")
+ DefineXKBSym("KP_F1" ,"SNF_1") ; not
+ DefineXKBSym("KP_F2" ,"SNF_2") ; not
+ DefineXKBSym("KP_F3" ,"SNF_3") ; not
+ DefineXKBSym("KP_F4" ,"SNF_4") ; not
+ DefineXKBSym("KP_Home" ,"SNHom")
+ DefineXKBSym("KP_Left" ,"SN_Le")
+ DefineXKBSym("KP_Up" ,"SN_Up")
+ DefineXKBSym("KP_Right" ,"SN_Ri")
+ DefineXKBSym("KP_Down" ,"SN_Dn")
+ DefineXKBSym("KP_Prior" ,"SNPUp")
+ DefineXKBSym("KP_Page_Up" ,"SNPUp")
+ DefineXKBSym("KP_Next" ,"SNPDn")
+ DefineXKBSym("KP_Page_Down" ,"SNPDn")
+ DefineXKBSym("KP_End" ,"SNEnd")
+ DefineXKBSym("KP_Begin" ,"SNBgn") ; not
+ DefineXKBSym("KP_Insert" ,"SNIns")
+ DefineXKBSym("KP_Delete" ,"SNDel")
+ DefineXKBSym("KP_Equal" ,"SNEql") ; not
+ DefineXKBSym("KP_Multiply" ,"SNMul")
+ DefineXKBSym("KP_Add" ,"SNAdd")
+ DefineXKBSym("KP_Separator" ,"SNSep") ; not
+ DefineXKBSym("KP_Subtract" ,"SNSub")
+ DefineXKBSym("KP_Decimal" ,"SNDot")
+ DefineXKBSym("KP_Divide" ,"SNDiv")
+ DefineXKBSym("KP_0" ,"SN__0")
+ DefineXKBSym("KP_1" ,"SN__1")
+ DefineXKBSym("KP_2" ,"SN__2")
+ DefineXKBSym("KP_3" ,"SN__3")
+ DefineXKBSym("KP_4" ,"SN__4")
+ DefineXKBSym("KP_5" ,"SN__5")
+ DefineXKBSym("KP_6" ,"SN__6")
+ DefineXKBSym("KP_7" ,"SN__7")
+ DefineXKBSym("KP_8" ,"SN__8")
+ DefineXKBSym("KP_9" ,"SN__9")
+ DefineXKBSym("dead_acute" ,"Tacut")
+ DefineXKBSym("dead_grave" ,"Tgrav")
+ DefineXKBSym("dead_cedilla" ,"Tcedi")
+ DefineXKBSym("dead_abovedot" ,"Tabdt")
+ DefineXKBSym("dead_ogonek" ,"Togon")
+ DefineXKBSym("dead_abovering" ,"Tobrg")
+ DefineXKBSym("dead_circumflex" ,"Tcflx")
+ DefineXKBSym("dead_caron" ,"Tcron")
+ DefineXKBSym("dead_breve" ,"Tbrve")
+ DefineXKBSym("dead_belowdot" ,"Tbldt")
+ DefineXKBSym("dead_tilde" ,"Ttlde")
+ DefineXKBSym("dead_macron" ,"Tmcrn")
+ DefineXKBSym("dead_diaeresis" ,"Tdrss")
+ DefineXKBSym("dead_doubleacute" ,"Tdbac")
+ DefineXKBSym("dead_stroke" ,"Tstrk")
+ DefineXKBSym("dead_iota" ,"Tiota") ; not assigned a dead key in NEO
+ DefineXKBSym("dead_voiced_sound" ,"Tvsnd") ; not assigned a dead key in NEO
+ DefineXKBSym("dead_semivoiced_sound","Tssnd") ; not assigned a dead key in NEO
+ DefineXKBSym("dead_horn" ,"Thorn") ; not assigned a dead key in NEO
+ DefineXKBSym("dead_hook" ,"Thook") ; not assigned a dead key in NEO
+ DefineXKBSym("combining_grave" ,"TCgrv") ; not assigned a dead key in NEO
+ DefineXKBSym("combining_acute" ,"TCact") ; not assigned a dead key in NEO
+ DefineXKBSym("combining_belowdot","TCbdt") ; not assigned a dead key in NEO
+ DefineXKBSym("combining_hook" ,"TChok") ; not assigned a dead key in NEO
+ DefineXKBSym("combining_tilde" ,"TCtld") ; not assigned a dead key in NEO
+ DefineXKBSym("space" ,"U0020")
+ DefineXKBSym("dead_space" ,"U00A0")
+ DefineXKBSym("exclam" ,"U0021")
+ DefineXKBSym("quotedbl" ,"U0022")
+ DefineXKBSym("numbersign" ,"U0023")
+ DefineXKBSym("dollar" ,"U0024")
+ DefineXKBSym("percent" ,"U0025")
+ DefineXKBSym("ampersand" ,"U0026")
+ DefineXKBSym("apostrophe" ,"U0027")
+ DefineXKBSym("quoteright" ,"U0027")
+ DefineXKBSym("parenleft" ,"U0028")
+ DefineXKBSym("parenright" ,"U0029")
+ DefineXKBSym("asterisk" ,"U002A")
+ DefineXKBSym("plus" ,"U002B")
+ DefineXKBSym("comma" ,"U002C")
+ DefineXKBSym("minus" ,"U002D")
+ DefineXKBSym("period" ,"U002E")
+ DefineXKBSym("slash" ,"U002F")
+ DefineXKBSym("0" ,"U0030")
+ DefineXKBSym("1" ,"U0031")
+ DefineXKBSym("2" ,"U0032")
+ DefineXKBSym("3" ,"U0033")
+ DefineXKBSym("4" ,"U0034")
+ DefineXKBSym("5" ,"U0035")
+ DefineXKBSym("6" ,"U0036")
+ DefineXKBSym("7" ,"U0037")
+ DefineXKBSym("8" ,"U0038")
+ DefineXKBSym("9" ,"U0039")
+ DefineXKBSym("colon" ,"U003A")
+ DefineXKBSym("semicolon" ,"U003B")
+ DefineXKBSym("less" ,"U003C")
+ DefineXKBSym("equal" ,"U003D")
+ DefineXKBSym("greater" ,"U003E")
+ DefineXKBSym("question" ,"U003F")
+ DefineXKBSym("at" ,"U0040")
+ DefineXKBSym("A" ,"U0041")
+ DefineXKBSym("B" ,"U0042")
+ DefineXKBSym("C" ,"U0043")
+ DefineXKBSym("D" ,"U0044")
+ DefineXKBSym("E" ,"U0045")
+ DefineXKBSym("F" ,"U0046")
+ DefineXKBSym("G" ,"U0047")
+ DefineXKBSym("H" ,"U0048")
+ DefineXKBSym("I" ,"U0049")
+ DefineXKBSym("J" ,"U004A")
+ DefineXKBSym("K" ,"U004B")
+ DefineXKBSym("L" ,"U004C")
+ DefineXKBSym("M" ,"U004D")
+ DefineXKBSym("N" ,"U004E")
+ DefineXKBSym("O" ,"U004F")
+ DefineXKBSym("P" ,"U0050")
+ DefineXKBSym("Q" ,"U0051")
+ DefineXKBSym("R" ,"U0052")
+ DefineXKBSym("S" ,"U0053")
+ DefineXKBSym("T" ,"U0054")
+ DefineXKBSym("U" ,"U0055")
+ DefineXKBSym("V" ,"U0056")
+ DefineXKBSym("W" ,"U0057")
+ DefineXKBSym("X" ,"U0058")
+ DefineXKBSym("Y" ,"U0059")
+ DefineXKBSym("Z" ,"U005A")
+ DefineXKBSym("bracketleft" ,"U005B")
+ DefineXKBSym("backslash" ,"U005C")
+ DefineXKBSym("bracketright" ,"U005D")
+ DefineXKBSym("asciicircum" ,"U005E")
+ DefineXKBSym("underscore" ,"U005F")
+ DefineXKBSym("grave" ,"U0060")
+ DefineXKBSym("quoteleft" ,"U0060")
+ DefineXKBSym("a" ,"U0061")
+ DefineXKBSym("b" ,"U0062")
+ DefineXKBSym("c" ,"U0063")
+ DefineXKBSym("d" ,"U0064")
+ DefineXKBSym("e" ,"U0065")
+ DefineXKBSym("f" ,"U0066")
+ DefineXKBSym("g" ,"U0067")
+ DefineXKBSym("h" ,"U0068")
+ DefineXKBSym("i" ,"U0069")
+ DefineXKBSym("j" ,"U006A")
+ DefineXKBSym("k" ,"U006B")
+ DefineXKBSym("l" ,"U006C")
+ DefineXKBSym("m" ,"U006D")
+ DefineXKBSym("n" ,"U006E")
+ DefineXKBSym("o" ,"U006F")
+ DefineXKBSym("p" ,"U0070")
+ DefineXKBSym("q" ,"U0071")
+ DefineXKBSym("r" ,"U0072")
+ DefineXKBSym("s" ,"U0073")
+ DefineXKBSym("t" ,"U0074")
+ DefineXKBSym("u" ,"U0075")
+ DefineXKBSym("v" ,"U0076")
+ DefineXKBSym("w" ,"U0077")
+ DefineXKBSym("x" ,"U0078")
+ DefineXKBSym("y" ,"U0079")
+ DefineXKBSym("z" ,"U007A")
+ DefineXKBSym("braceleft" ,"U007B")
+ DefineXKBSym("bar" ,"U007C")
+ DefineXKBSym("braceright" ,"U007D")
+ DefineXKBSym("asciitilde" ,"U007E")
+ DefineXKBSym("ſ" ,"U017F")
; following codes are auto-generated from keysymdef.h (manually disabled if already defined or override)
-; check("BackSpace","U232B")
-; check("Tab","U21E5")
-; check("Return","U21B2")
-; check("Escape","U2327")
-; check("Delete","U2326")
-; check("Multi_key","U266B")
-; check("Home","U21F1")
-; check("Left","U21E0")
-; check("Up","U21E1")
-; check("Right","U21E2")
-; check("Down","U21E3")
-; check("Prior","U21DE")
-; check("Page_Up","U21DE")
-; check("Next","U21DF")
-; check("Page_Down","U21DF")
-; check("End","U21F2")
-; check("Insert","U2380")
-; check("KP_Enter","U2386")
-; check("KP_Home","U21F1")
-; check("KP_Left","U21E0")
-; check("KP_Up","U21E1")
-; check("KP_Right","U21E2")
-; check("KP_Down","U21E3")
-; check("KP_Prior","U21DE")
-; check("KP_Page_Up","U21DE")
-; check("KP_Next","U21DF")
-; check("KP_Page_Down","U21DF")
-; check("KP_End","U21F2")
-; check("KP_Insert","U2380")
-; check("KP_Delete","U2326")
-; check("KP_Multiply","U002A")
-; check("KP_Add","U002B")
-; check("KP_Subtract","U002D")
-; check("KP_Decimal","U2396")
-; check("KP_Divide","U2215")
-; check("KP_0","U0030")
-; check("KP_1","U0031")
-; check("KP_2","U0032")
-; check("KP_3","U0033")
-; check("KP_4","U0034")
-; check("KP_5","U0035")
-; check("KP_6","U0036")
-; check("KP_7","U0037")
-; check("KP_8","U0038")
-; check("KP_9","U0039")
- check("Shift_L","U21E7")
- check("Shift_R","U21E7")
- check("Shift_Lock","U21E7")
-; check("ISO_Left_Tab","U21E4")
-; check("dead_grave","U0060")
-; check("dead_acute","U02CA")
-; check("dead_circumflex","U005E")
-; check("dead_tilde","U007E")
-; check("dead_macron","U02C9")
-; check("dead_breve","U0306")
-; check("dead_abovedot","U02D9")
-; check("dead_diaeresis","U00A8")
-; check("dead_abovering","U02DA")
-; check("dead_doubleacute","U02DD")
-; check("dead_caron","U02C7")
-; check("dead_cedilla","U00B8")
-; check("dead_ogonek","U02DB")
-; check("dead_belowdot","U0323")
-; check("dead_stroke","U0335")
-; check("space","U0020")
-; check("exclam","U0021")
-; check("quotedbl","U0022")
-; check("numbersign","U0023")
-; check("dollar","U0024")
-; check("percent","U0025")
-; check("ampersand","U0026")
-; check("apostrophe","U0027")
-; check("parenleft","U0028")
-; check("parenright","U0029")
-; check("asterisk","U002A")
-; check("plus","U002B")
-; check("comma","U002C")
-; check("minus","U002D")
-; check("period","U002E")
-; check("slash","U002F")
-; check("0","U0030")
-; check("1","U0031")
-; check("2","U0032")
-; check("3","U0033")
-; check("4","U0034")
-; check("5","U0035")
-; check("6","U0036")
-; check("7","U0037")
-; check("8","U0038")
-; check("9","U0039")
-; check("colon","U003A")
-; check("semicolon","U003B")
-; check("less","U003C")
-; check("equal","U003D")
-; check("greater","U003E")
-; check("question","U003F")
-; check("at","U0040")
-; check("A","U0041")
-; check("B","U0042")
-; check("C","U0043")
-; check("D","U0044")
-; check("E","U0045")
-; check("F","U0046")
-; check("G","U0047")
-; check("H","U0048")
-; check("I","U0049")
-; check("J","U004A")
-; check("K","U004B")
-; check("L","U004C")
-; check("M","U004D")
-; check("N","U004E")
-; check("O","U004F")
-; check("P","U0050")
-; check("Q","U0051")
-; check("R","U0052")
-; check("S","U0053")
-; check("T","U0054")
-; check("U","U0055")
-; check("V","U0056")
-; check("W","U0057")
-; check("X","U0058")
-; check("Y","U0059")
-; check("Z","U005A")
-; check("bracketleft","U005B")
-; check("backslash","U005C")
-; check("bracketright","U005D")
-; check("asciicircum","U005E")
-; check("underscore","U005F")
-; check("grave","U0060")
-; check("a","U0061")
-; check("b","U0062")
-; check("c","U0063")
-; check("d","U0064")
-; check("e","U0065")
-; check("f","U0066")
-; check("g","U0067")
-; check("h","U0068")
-; check("i","U0069")
-; check("j","U006A")
-; check("k","U006B")
-; check("l","U006C")
-; check("m","U006D")
-; check("n","U006E")
-; check("o","U006F")
-; check("p","U0070")
-; check("q","U0071")
-; check("r","U0072")
-; check("s","U0073")
-; check("t","U0074")
-; check("u","U0075")
-; check("v","U0076")
-; check("w","U0077")
-; check("x","U0078")
-; check("y","U0079")
-; check("z","U007A")
-; check("braceleft","U007B")
-; check("bar","U007C")
-; check("braceright","U007D")
-; check("asciitilde","U007E")
- check("nobreakspace","U00A0")
- check("exclamdown","U00A1")
- check("cent","U00A2")
- check("sterling","U00A3")
- check("currency","U00A4")
- check("yen","U00A5")
- check("brokenbar","U00A6")
- check("section","U00A7")
- check("diaeresis","U00A8")
- check("copyright","U00A9")
- check("ordfeminine","U00AA")
- check("guillemotleft","U00AB")
- check("notsign","U00AC")
- check("hyphen","U00AD")
- check("registered","U00AE")
- check("macron","U00AF")
- check("degree","U00B0")
- check("plusminus","U00B1")
- check("twosuperior","U00B2")
- check("threesuperior","U00B3")
- check("acute","U00B4")
- check("mu","U00B5")
- check("paragraph","U00B6")
- check("periodcentered","U00B7")
- check("cedilla","U00B8")
- check("onesuperior","U00B9")
- check("masculine","U00BA")
- check("guillemotright","U00BB")
- check("onequarter","U00BC")
- check("onehalf","U00BD")
- check("threequarters","U00BE")
- check("questiondown","U00BF")
- check("Agrave","U00C0")
- check("Aacute","U00C1")
- check("Acircumflex","U00C2")
- check("Atilde","U00C3")
- check("Adiaeresis","U00C4")
- check("Aring","U00C5")
- check("AE","U00C6")
- check("Ccedilla","U00C7")
- check("Egrave","U00C8")
- check("Eacute","U00C9")
- check("Ecircumflex","U00CA")
- check("Ediaeresis","U00CB")
- check("Igrave","U00CC")
- check("Iacute","U00CD")
- check("Icircumflex","U00CE")
- check("Idiaeresis","U00CF")
- check("ETH","U00D0")
- check("Ntilde","U00D1")
- check("Ograve","U00D2")
- check("Oacute","U00D3")
- check("Ocircumflex","U00D4")
- check("Otilde","U00D5")
- check("Odiaeresis","U00D6")
- check("multiply","U00D7")
- check("Oslash","U00D8")
- check("Ooblique","U00D8")
- check("Ugrave","U00D9")
- check("Uacute","U00DA")
- check("Ucircumflex","U00DB")
- check("Udiaeresis","U00DC")
- check("Yacute","U00DD")
- check("THORN","U00DE")
- check("ssharp","U00DF")
- check("agrave","U00E0")
- check("aacute","U00E1")
- check("acircumflex","U00E2")
- check("atilde","U00E3")
- check("adiaeresis","U00E4")
- check("aring","U00E5")
- check("ae","U00E6")
- check("ccedilla","U00E7")
- check("egrave","U00E8")
- check("eacute","U00E9")
- check("ecircumflex","U00EA")
- check("ediaeresis","U00EB")
- check("igrave","U00EC")
- check("iacute","U00ED")
- check("icircumflex","U00EE")
- check("idiaeresis","U00EF")
- check("eth","U00F0")
- check("ntilde","U00F1")
- check("ograve","U00F2")
- check("oacute","U00F3")
- check("ocircumflex","U00F4")
- check("otilde","U00F5")
- check("odiaeresis","U00F6")
- check("division","U00F7")
- check("oslash","U00F8")
- check("ooblique","U00F8")
- check("ugrave","U00F9")
- check("uacute","U00FA")
- check("ucircumflex","U00FB")
- check("udiaeresis","U00FC")
- check("yacute","U00FD")
- check("thorn","U00FE")
- check("ydiaeresis","U00FF")
- check("Aogonek","U0104")
- check("breve","U02D8")
- check("Lstroke","U0141")
- check("Lcaron","U013D")
- check("Sacute","U015A")
- check("Scaron","U0160")
- check("Scedilla","U015E")
- check("Tcaron","U0164")
- check("Zacute","U0179")
- check("Zcaron","U017D")
- check("Zabovedot","U017B")
- check("aogonek","U0105")
- check("ogonek","U02DB")
- check("lstroke","U0142")
- check("lcaron","U013E")
- check("sacute","U015B")
- check("caron","U02C7")
- check("scaron","U0161")
- check("scedilla","U015F")
- check("tcaron","U0165")
- check("zacute","U017A")
- check("doubleacute","U02DD")
- check("zcaron","U017E")
- check("zabovedot","U017C")
- check("Racute","U0154")
- check("Abreve","U0102")
- check("Lacute","U0139")
- check("Cacute","U0106")
- check("Ccaron","U010C")
- check("Eogonek","U0118")
- check("Ecaron","U011A")
- check("Dcaron","U010E")
- check("Dstroke","U0110")
- check("Nacute","U0143")
- check("Ncaron","U0147")
- check("Odoubleacute","U0150")
- check("Rcaron","U0158")
- check("Uring","U016E")
- check("Udoubleacute","U0170")
- check("Tcedilla","U0162")
- check("racute","U0155")
- check("abreve","U0103")
- check("lacute","U013A")
- check("cacute","U0107")
- check("ccaron","U010D")
- check("eogonek","U0119")
- check("ecaron","U011B")
- check("dcaron","U010F")
- check("dstroke","U0111")
- check("nacute","U0144")
- check("ncaron","U0148")
- check("odoubleacute","U0151")
- check("udoubleacute","U0171")
- check("rcaron","U0159")
- check("uring","U016F")
- check("tcedilla","U0163")
- check("abovedot","U02D9")
- check("Hstroke","U0126")
- check("Hcircumflex","U0124")
- check("Iabovedot","U0130")
- check("Gbreve","U011E")
- check("Jcircumflex","U0134")
- check("hstroke","U0127")
- check("hcircumflex","U0125")
- check("idotless","U0131")
- check("gbreve","U011F")
- check("jcircumflex","U0135")
- check("Cabovedot","U010A")
- check("Ccircumflex","U0108")
- check("Gabovedot","U0120")
- check("Gcircumflex","U011C")
- check("Ubreve","U016C")
- check("Scircumflex","U015C")
- check("cabovedot","U010B")
- check("ccircumflex","U0109")
- check("gabovedot","U0121")
- check("gcircumflex","U011D")
- check("ubreve","U016D")
- check("scircumflex","U015D")
- check("kra","U0138")
- check("Rcedilla","U0156")
- check("Itilde","U0128")
- check("Lcedilla","U013B")
- check("Emacron","U0112")
- check("Gcedilla","U0122")
- check("Tslash","U0166")
- check("rcedilla","U0157")
- check("itilde","U0129")
- check("lcedilla","U013C")
- check("emacron","U0113")
- check("gcedilla","U0123")
- check("tslash","U0167")
- check("ENG","U014A")
- check("eng","U014B")
- check("Amacron","U0100")
- check("Iogonek","U012E")
- check("Eabovedot","U0116")
- check("Imacron","U012A")
- check("Ncedilla","U0145")
- check("Omacron","U014C")
- check("Kcedilla","U0136")
- check("Uogonek","U0172")
- check("Utilde","U0168")
- check("Umacron","U016A")
- check("amacron","U0101")
- check("iogonek","U012F")
- check("eabovedot","U0117")
- check("imacron","U012B")
- check("ncedilla","U0146")
- check("omacron","U014D")
- check("kcedilla","U0137")
- check("uogonek","U0173")
- check("utilde","U0169")
- check("umacron","U016B")
- check("Babovedot","U1E02")
- check("babovedot","U1E03")
- check("Dabovedot","U1E0A")
- check("Wgrave","U1E80")
- check("Wacute","U1E82")
- check("dabovedot","U1E0B")
- check("Ygrave","U1EF2")
- check("Fabovedot","U1E1E")
- check("fabovedot","U1E1F")
- check("Mabovedot","U1E40")
- check("mabovedot","U1E41")
- check("Pabovedot","U1E56")
- check("wgrave","U1E81")
- check("pabovedot","U1E57")
- check("wacute","U1E83")
- check("Sabovedot","U1E60")
- check("ygrave","U1EF3")
- check("Wdiaeresis","U1E84")
- check("wdiaeresis","U1E85")
- check("sabovedot","U1E61")
- check("Wcircumflex","U0174")
- check("Tabovedot","U1E6A")
- check("Ycircumflex","U0176")
- check("wcircumflex","U0175")
- check("tabovedot","U1E6B")
- check("ycircumflex","U0177")
- check("OE","U0152")
- check("oe","U0153")
- check("Ydiaeresis","U0178")
- check("overline","U203E")
- check("kana_fullstop","U3002")
- check("kana_openingbracket","U300C")
- check("kana_closingbracket","U300D")
- check("kana_comma","U3001")
- check("kana_conjunctive","U30FB")
- check("kana_WO","U30F2")
- check("kana_a","U30A1")
- check("kana_i","U30A3")
- check("kana_u","U30A5")
- check("kana_e","U30A7")
- check("kana_o","U30A9")
- check("kana_ya","U30E3")
- check("kana_yu","U30E5")
- check("kana_yo","U30E7")
- check("kana_tsu","U30C3")
- check("prolongedsound","U30FC")
- check("kana_A","U30A2")
- check("kana_I","U30A4")
- check("kana_U","U30A6")
- check("kana_E","U30A8")
- check("kana_O","U30AA")
- check("kana_KA","U30AB")
- check("kana_KI","U30AD")
- check("kana_KU","U30AF")
- check("kana_KE","U30B1")
- check("kana_KO","U30B3")
- check("kana_SA","U30B5")
- check("kana_SHI","U30B7")
- check("kana_SU","U30B9")
- check("kana_SE","U30BB")
- check("kana_SO","U30BD")
- check("kana_TA","U30BF")
- check("kana_CHI","U30C1")
- check("kana_TSU","U30C4")
- check("kana_TE","U30C6")
- check("kana_TO","U30C8")
- check("kana_NA","U30CA")
- check("kana_NI","U30CB")
- check("kana_NU","U30CC")
- check("kana_NE","U30CD")
- check("kana_NO","U30CE")
- check("kana_HA","U30CF")
- check("kana_HI","U30D2")
- check("kana_FU","U30D5")
- check("kana_HE","U30D8")
- check("kana_HO","U30DB")
- check("kana_MA","U30DE")
- check("kana_MI","U30DF")
- check("kana_MU","U30E0")
- check("kana_ME","U30E1")
- check("kana_MO","U30E2")
- check("kana_YA","U30E4")
- check("kana_YU","U30E6")
- check("kana_YO","U30E8")
- check("kana_RA","U30E9")
- check("kana_RI","U30EA")
- check("kana_RU","U30EB")
- check("kana_RE","U30EC")
- check("kana_RO","U30ED")
- check("kana_WA","U30EF")
- check("kana_N","U30F3")
- check("voicedsound","U309B")
- check("semivoicedsound","U309C")
- check("Farsi_0","U06F0")
- check("Farsi_1","U06F1")
- check("Farsi_2","U06F2")
- check("Farsi_3","U06F3")
- check("Farsi_4","U06F4")
- check("Farsi_5","U06F5")
- check("Farsi_6","U06F6")
- check("Farsi_7","U06F7")
- check("Farsi_8","U06F8")
- check("Farsi_9","U06F9")
- check("Arabic_percent","U066A")
- check("Arabic_superscript_alef","U0670")
- check("Arabic_tteh","U0679")
- check("Arabic_peh","U067E")
- check("Arabic_tcheh","U0686")
- check("Arabic_ddal","U0688")
- check("Arabic_rreh","U0691")
- check("Arabic_comma","U060C")
- check("Arabic_fullstop","U06D4")
- check("Arabic_0","U0660")
- check("Arabic_1","U0661")
- check("Arabic_2","U0662")
- check("Arabic_3","U0663")
- check("Arabic_4","U0664")
- check("Arabic_5","U0665")
- check("Arabic_6","U0666")
- check("Arabic_7","U0667")
- check("Arabic_8","U0668")
- check("Arabic_9","U0669")
- check("Arabic_semicolon","U061B")
- check("Arabic_question_mark","U061F")
- check("Arabic_hamza","U0621")
- check("Arabic_maddaonalef","U0622")
- check("Arabic_hamzaonalef","U0623")
- check("Arabic_hamzaonwaw","U0624")
- check("Arabic_hamzaunderalef","U0625")
- check("Arabic_hamzaonyeh","U0626")
- check("Arabic_alef","U0627")
- check("Arabic_beh","U0628")
- check("Arabic_tehmarbuta","U0629")
- check("Arabic_teh","U062A")
- check("Arabic_theh","U062B")
- check("Arabic_jeem","U062C")
- check("Arabic_hah","U062D")
- check("Arabic_khah","U062E")
- check("Arabic_dal","U062F")
- check("Arabic_thal","U0630")
- check("Arabic_ra","U0631")
- check("Arabic_zain","U0632")
- check("Arabic_seen","U0633")
- check("Arabic_sheen","U0634")
- check("Arabic_sad","U0635")
- check("Arabic_dad","U0636")
- check("Arabic_tah","U0637")
- check("Arabic_zah","U0638")
- check("Arabic_ain","U0639")
- check("Arabic_ghain","U063A")
- check("Arabic_tatweel","U0640")
- check("Arabic_feh","U0641")
- check("Arabic_qaf","U0642")
- check("Arabic_kaf","U0643")
- check("Arabic_lam","U0644")
- check("Arabic_meem","U0645")
- check("Arabic_noon","U0646")
- check("Arabic_ha","U0647")
- check("Arabic_waw","U0648")
- check("Arabic_alefmaksura","U0649")
- check("Arabic_yeh","U064A")
- check("Arabic_fathatan","U064B")
- check("Arabic_dammatan","U064C")
- check("Arabic_kasratan","U064D")
- check("Arabic_fatha","U064E")
- check("Arabic_damma","U064F")
- check("Arabic_kasra","U0650")
- check("Arabic_shadda","U0651")
- check("Arabic_sukun","U0652")
- check("Arabic_madda_above","U0653")
- check("Arabic_hamza_above","U0654")
- check("Arabic_hamza_below","U0655")
- check("Arabic_jeh","U0698")
- check("Arabic_veh","U06A4")
- check("Arabic_keheh","U06A9")
- check("Arabic_gaf","U06AF")
- check("Arabic_noon_ghunna","U06BA")
- check("Arabic_heh_doachashmee","U06BE")
- check("Farsi_yeh","U06CC")
- check("Arabic_farsi_yeh","U06CC")
- check("Arabic_yeh_baree","U06D2")
- check("Arabic_heh_goal","U06C1")
- check("Cyrillic_GHE_bar","U0492")
- check("Cyrillic_ghe_bar","U0493")
- check("Cyrillic_ZHE_descender","U0496")
- check("Cyrillic_zhe_descender","U0497")
- check("Cyrillic_KA_descender","U049A")
- check("Cyrillic_ka_descender","U049B")
- check("Cyrillic_KA_vertstroke","U049C")
- check("Cyrillic_ka_vertstroke","U049D")
- check("Cyrillic_EN_descender","U04A2")
- check("Cyrillic_en_descender","U04A3")
- check("Cyrillic_U_straight","U04AE")
- check("Cyrillic_u_straight","U04AF")
- check("Cyrillic_U_straight_bar","U04B0")
- check("Cyrillic_u_straight_bar","U04B1")
- check("Cyrillic_HA_descender","U04B2")
- check("Cyrillic_ha_descender","U04B3")
- check("Cyrillic_CHE_descender","U04B6")
- check("Cyrillic_che_descender","U04B7")
- check("Cyrillic_CHE_vertstroke","U04B8")
- check("Cyrillic_che_vertstroke","U04B9")
- check("Cyrillic_SHHA","U04BA")
- check("Cyrillic_shha","U04BB")
- check("Cyrillic_SCHWA","U04D8")
- check("Cyrillic_schwa","U04D9")
- check("Cyrillic_I_macron","U04E2")
- check("Cyrillic_i_macron","U04E3")
- check("Cyrillic_O_bar","U04E8")
- check("Cyrillic_o_bar","U04E9")
- check("Cyrillic_U_macron","U04EE")
- check("Cyrillic_u_macron","U04EF")
- check("Serbian_dje","U0452")
- check("Macedonia_gje","U0453")
- check("Cyrillic_io","U0451")
- check("Ukrainian_ie","U0454")
- check("Macedonia_dse","U0455")
- check("Ukrainian_i","U0456")
- check("Ukrainian_yi","U0457")
- check("Cyrillic_je","U0458")
- check("Cyrillic_lje","U0459")
- check("Cyrillic_nje","U045A")
- check("Serbian_tshe","U045B")
- check("Macedonia_kje","U045C")
- check("Ukrainian_ghe_with_upturn","U0491")
- check("Byelorussian_shortu","U045E")
- check("Cyrillic_dzhe","U045F")
- check("numerosign","U2116")
- check("Serbian_DJE","U0402")
- check("Macedonia_GJE","U0403")
- check("Cyrillic_IO","U0401")
- check("Ukrainian_IE","U0404")
- check("Macedonia_DSE","U0405")
- check("Ukrainian_I","U0406")
- check("Ukrainian_YI","U0407")
- check("Cyrillic_JE","U0408")
- check("Cyrillic_LJE","U0409")
- check("Cyrillic_NJE","U040A")
- check("Serbian_TSHE","U040B")
- check("Macedonia_KJE","U040C")
- check("Ukrainian_GHE_WITH_UPTURN","U0490")
- check("Byelorussian_SHORTU","U040E")
- check("Cyrillic_DZHE","U040F")
- check("Cyrillic_yu","U044E")
- check("Cyrillic_a","U0430")
- check("Cyrillic_be","U0431")
- check("Cyrillic_tse","U0446")
- check("Cyrillic_de","U0434")
- check("Cyrillic_ie","U0435")
- check("Cyrillic_ef","U0444")
- check("Cyrillic_ghe","U0433")
- check("Cyrillic_ha","U0445")
- check("Cyrillic_i","U0438")
- check("Cyrillic_shorti","U0439")
- check("Cyrillic_ka","U043A")
- check("Cyrillic_el","U043B")
- check("Cyrillic_em","U043C")
- check("Cyrillic_en","U043D")
- check("Cyrillic_o","U043E")
- check("Cyrillic_pe","U043F")
- check("Cyrillic_ya","U044F")
- check("Cyrillic_er","U0440")
- check("Cyrillic_es","U0441")
- check("Cyrillic_te","U0442")
- check("Cyrillic_u","U0443")
- check("Cyrillic_zhe","U0436")
- check("Cyrillic_ve","U0432")
- check("Cyrillic_softsign","U044C")
- check("Cyrillic_yeru","U044B")
- check("Cyrillic_ze","U0437")
- check("Cyrillic_sha","U0448")
- check("Cyrillic_e","U044D")
- check("Cyrillic_shcha","U0449")
- check("Cyrillic_che","U0447")
- check("Cyrillic_hardsign","U044A")
- check("Cyrillic_YU","U042E")
- check("Cyrillic_A","U0410")
- check("Cyrillic_BE","U0411")
- check("Cyrillic_TSE","U0426")
- check("Cyrillic_DE","U0414")
- check("Cyrillic_IE","U0415")
- check("Cyrillic_EF","U0424")
- check("Cyrillic_GHE","U0413")
- check("Cyrillic_HA","U0425")
- check("Cyrillic_I","U0418")
- check("Cyrillic_SHORTI","U0419")
- check("Cyrillic_KA","U041A")
- check("Cyrillic_EL","U041B")
- check("Cyrillic_EM","U041C")
- check("Cyrillic_EN","U041D")
- check("Cyrillic_O","U041E")
- check("Cyrillic_PE","U041F")
- check("Cyrillic_YA","U042F")
- check("Cyrillic_ER","U0420")
- check("Cyrillic_ES","U0421")
- check("Cyrillic_TE","U0422")
- check("Cyrillic_U","U0423")
- check("Cyrillic_ZHE","U0416")
- check("Cyrillic_VE","U0412")
- check("Cyrillic_SOFTSIGN","U042C")
- check("Cyrillic_YERU","U042B")
- check("Cyrillic_ZE","U0417")
- check("Cyrillic_SHA","U0428")
- check("Cyrillic_E","U042D")
- check("Cyrillic_SHCHA","U0429")
- check("Cyrillic_CHE","U0427")
- check("Cyrillic_HARDSIGN","U042A")
- check("Greek_ALPHAaccent","U0386")
- check("Greek_EPSILONaccent","U0388")
- check("Greek_ETAaccent","U0389")
- check("Greek_IOTAaccent","U038A")
- check("Greek_IOTAdieresis","U03AA")
- check("Greek_OMICRONaccent","U038C")
- check("Greek_UPSILONaccent","U038E")
- check("Greek_UPSILONdieresis","U03AB")
- check("Greek_OMEGAaccent","U038F")
- check("Greek_accentdieresis","U0385")
- check("Greek_horizbar","U2015")
- check("Greek_alphaaccent","U03AC")
- check("Greek_epsilonaccent","U03AD")
- check("Greek_etaaccent","U03AE")
- check("Greek_iotaaccent","U03AF")
- check("Greek_iotadieresis","U03CA")
- check("Greek_iotaaccentdieresis","U0390")
- check("Greek_omicronaccent","U03CC")
- check("Greek_upsilonaccent","U03CD")
- check("Greek_upsilondieresis","U03CB")
- check("Greek_upsilonaccentdieresis","U03B0")
- check("Greek_omegaaccent","U03CE")
- check("Greek_ALPHA","U0391")
- check("Greek_BETA","U0392")
- check("Greek_GAMMA","U0393")
- check("Greek_DELTA","U0394")
- check("Greek_EPSILON","U0395")
- check("Greek_ZETA","U0396")
- check("Greek_ETA","U0397")
- check("Greek_THETA","U0398")
- check("Greek_IOTA","U0399")
- check("Greek_KAPPA","U039A")
- check("Greek_LAMDA","U039B")
- check("Greek_LAMBDA","U039B")
- check("Greek_MU","U039C")
- check("Greek_NU","U039D")
- check("Greek_XI","U039E")
- check("Greek_OMICRON","U039F")
- check("Greek_PI","U03A0")
- check("Greek_RHO","U03A1")
- check("Greek_SIGMA","U03A3")
- check("Greek_TAU","U03A4")
- check("Greek_UPSILON","U03A5")
- check("Greek_PHI","U03A6")
- check("Greek_CHI","U03A7")
- check("Greek_PSI","U03A8")
- check("Greek_OMEGA","U03A9")
- check("Greek_alpha","U03B1")
- check("Greek_beta","U03B2")
- check("Greek_gamma","U03B3")
- check("Greek_delta","U03B4")
- check("Greek_epsilon","U03B5")
- check("Greek_zeta","U03B6")
- check("Greek_eta","U03B7")
- check("Greek_theta","U03B8")
- check("Greek_iota","U03B9")
- check("Greek_kappa","U03BA")
- check("Greek_lamda","U03BB")
- check("Greek_lambda","U03BB")
- check("Greek_mu","U03BC")
- check("Greek_nu","U03BD")
- check("Greek_xi","U03BE")
- check("Greek_omicron","U03BF")
- check("Greek_pi","U03C0")
- check("Greek_rho","U03C1")
- check("Greek_sigma","U03C3")
- check("Greek_finalsmallsigma","U03C2")
- check("Greek_tau","U03C4")
- check("Greek_upsilon","U03C5")
- check("Greek_phi","U03C6")
- check("Greek_chi","U03C7")
- check("Greek_psi","U03C8")
- check("Greek_omega","U03C9")
- check("leftradical","U23B7")
- check("topleftradical","U250C")
- check("horizconnector","U2500")
- check("topintegral","U2320")
- check("botintegral","U2321")
- check("vertconnector","U2502")
- check("topleftsqbracket","U23A1")
- check("botleftsqbracket","U23A3")
- check("toprightsqbracket","U23A4")
- check("botrightsqbracket","U23A6")
- check("topleftparens","U239B")
- check("botleftparens","U239D")
- check("toprightparens","U239E")
- check("botrightparens","U23A0")
- check("leftmiddlecurlybrace","U23A8")
- check("rightmiddlecurlybrace","U23AC")
- check("lessthanequal","U2264")
- check("notequal","U2260")
- check("greaterthanequal","U2265")
- check("integral","U222B")
- check("therefore","U2234")
- check("variation","U221D")
- check("infinity","U221E")
- check("nabla","U2207")
- check("approximate","U223C")
- check("similarequal","U2243")
- check("ifonlyif","U21D4")
- check("implies","U21D2")
- check("identical","U2261")
- check("radical","U221A")
- check("includedin","U2282")
- check("includes","U2283")
- check("intersection","U2229")
- check("union","U222A")
- check("logicaland","U2227")
- check("logicalor","U2228")
- check("partialderivative","U2202")
- check("function","U0192")
- check("leftarrow","U2190")
- check("uparrow","U2191")
- check("rightarrow","U2192")
- check("downarrow","U2193")
- check("soliddiamond","U25C6")
- check("checkerboard","U2592")
- check("ht","U2409")
- check("ff","U240C")
- check("cr","U240D")
- check("lf","U240A")
- check("nl","U2424")
- check("vt","U240B")
- check("lowrightcorner","U2518")
- check("uprightcorner","U2510")
- check("upleftcorner","U250C")
- check("lowleftcorner","U2514")
- check("crossinglines","U253C")
- check("horizlinescan1","U23BA")
- check("horizlinescan3","U23BB")
- check("horizlinescan5","U2500")
- check("horizlinescan7","U23BC")
- check("horizlinescan9","U23BD")
- check("leftt","U251C")
- check("rightt","U2524")
- check("bott","U2534")
- check("topt","U252C")
- check("vertbar","U2502")
- check("emspace","U2003")
- check("enspace","U2002")
- check("em3space","U2004")
- check("em4space","U2005")
- check("digitspace","U2007")
- check("punctspace","U2008")
- check("thinspace","U2009")
- check("hairspace","U200A")
- check("emdash","U2014")
- check("endash","U2013")
- check("signifblank","U2423")
- check("ellipsis","U2026")
- check("doubbaselinedot","U2025")
- check("onethird","U2153")
- check("twothirds","U2154")
- check("onefifth","U2155")
- check("twofifths","U2156")
- check("threefifths","U2157")
- check("fourfifths","U2158")
- check("onesixth","U2159")
- check("fivesixths","U215A")
- check("careof","U2105")
- check("figdash","U2012")
- check("leftanglebracket","U27E8")
- check("decimalpoint","U002E")
- check("rightanglebracket","U27E9")
- check("oneeighth","U215B")
- check("threeeighths","U215C")
- check("fiveeighths","U215D")
- check("seveneighths","U215E")
- check("trademark","U2122")
- check("signaturemark","U2613")
- check("leftopentriangle","U25C1")
- check("rightopentriangle","U25B7")
- check("emopencircle","U25CB")
- check("emopenrectangle","U25AF")
- check("leftsinglequotemark","U2018")
- check("rightsinglequotemark","U2019")
- check("leftdoublequotemark","U201C")
- check("rightdoublequotemark","U201D")
- check("prescription","U211E")
- check("minutes","U2032")
- check("seconds","U2033")
- check("latincross","U271D")
- check("filledrectbullet","U25AC")
- check("filledlefttribullet","U25C0")
- check("filledrighttribullet","U25B6")
- check("emfilledcircle","U25CF")
- check("emfilledrect","U25AE")
- check("enopencircbullet","U25E6")
- check("enopensquarebullet","U25AB")
- check("openrectbullet","U25AD")
- check("opentribulletup","U25B3")
- check("opentribulletdown","U25BD")
- check("openstar","U2606")
- check("enfilledcircbullet","U2022")
- check("enfilledsqbullet","U25AA")
- check("filledtribulletup","U25B2")
- check("filledtribulletdown","U25BC")
- check("leftpointer","U261C")
- check("rightpointer","U261E")
- check("club","U2663")
- check("diamond","U2666")
- check("heart","U2665")
- check("maltesecross","U2720")
- check("dagger","U2020")
- check("doubledagger","U2021")
- check("checkmark","U2713")
- check("ballotcross","U2717")
- check("musicalsharp","U266F")
- check("musicalflat","U266D")
- check("malesymbol","U2642")
- check("femalesymbol","U2640")
- check("telephone","U260E")
- check("telephonerecorder","U2315")
- check("phonographcopyright","U2117")
- check("caret","U2038")
- check("singlelowquotemark","U201A")
- check("doublelowquotemark","U201E")
- check("leftcaret","U003C")
- check("rightcaret","U003E")
- check("downcaret","U2228")
- check("upcaret","U2227")
- check("overbar","U00AF")
- check("downtack","U22A5")
- check("upshoe","U2229")
- check("downstile","U230A")
- check("underbar","U005F")
- check("jot","U2218")
- check("quad","U2395")
- check("uptack","U22A4")
- check("circle","U25CB")
- check("upstile","U2308")
- check("downshoe","U222A")
- check("rightshoe","U2283")
- check("leftshoe","U2282")
- check("lefttack","U22A2")
- check("righttack","U22A3")
- check("hebrew_doublelowline","U2017")
- check("hebrew_aleph","U05D0")
- check("hebrew_bet","U05D1")
- check("hebrew_gimel","U05D2")
- check("hebrew_dalet","U05D3")
- check("hebrew_he","U05D4")
- check("hebrew_waw","U05D5")
- check("hebrew_zain","U05D6")
- check("hebrew_chet","U05D7")
- check("hebrew_tet","U05D8")
- check("hebrew_yod","U05D9")
- check("hebrew_finalkaph","U05DA")
- check("hebrew_kaph","U05DB")
- check("hebrew_lamed","U05DC")
- check("hebrew_finalmem","U05DD")
- check("hebrew_mem","U05DE")
- check("hebrew_finalnun","U05DF")
- check("hebrew_nun","U05E0")
- check("hebrew_samech","U05E1")
- check("hebrew_ayin","U05E2")
- check("hebrew_finalpe","U05E3")
- check("hebrew_pe","U05E4")
- check("hebrew_finalzade","U05E5")
- check("hebrew_zade","U05E6")
- check("hebrew_qoph","U05E7")
- check("hebrew_resh","U05E8")
- check("hebrew_shin","U05E9")
- check("hebrew_taw","U05EA")
- check("Thai_kokai","U0E01")
- check("Thai_khokhai","U0E02")
- check("Thai_khokhuat","U0E03")
- check("Thai_khokhwai","U0E04")
- check("Thai_khokhon","U0E05")
- check("Thai_khorakhang","U0E06")
- check("Thai_ngongu","U0E07")
- check("Thai_chochan","U0E08")
- check("Thai_choching","U0E09")
- check("Thai_chochang","U0E0A")
- check("Thai_soso","U0E0B")
- check("Thai_chochoe","U0E0C")
- check("Thai_yoying","U0E0D")
- check("Thai_dochada","U0E0E")
- check("Thai_topatak","U0E0F")
- check("Thai_thothan","U0E10")
- check("Thai_thonangmontho","U0E11")
- check("Thai_thophuthao","U0E12")
- check("Thai_nonen","U0E13")
- check("Thai_dodek","U0E14")
- check("Thai_totao","U0E15")
- check("Thai_thothung","U0E16")
- check("Thai_thothahan","U0E17")
- check("Thai_thothong","U0E18")
- check("Thai_nonu","U0E19")
- check("Thai_bobaimai","U0E1A")
- check("Thai_popla","U0E1B")
- check("Thai_phophung","U0E1C")
- check("Thai_fofa","U0E1D")
- check("Thai_phophan","U0E1E")
- check("Thai_fofan","U0E1F")
- check("Thai_phosamphao","U0E20")
- check("Thai_moma","U0E21")
- check("Thai_yoyak","U0E22")
- check("Thai_rorua","U0E23")
- check("Thai_ru","U0E24")
- check("Thai_loling","U0E25")
- check("Thai_lu","U0E26")
- check("Thai_wowaen","U0E27")
- check("Thai_sosala","U0E28")
- check("Thai_sorusi","U0E29")
- check("Thai_sosua","U0E2A")
- check("Thai_hohip","U0E2B")
- check("Thai_lochula","U0E2C")
- check("Thai_oang","U0E2D")
- check("Thai_honokhuk","U0E2E")
- check("Thai_paiyannoi","U0E2F")
- check("Thai_saraa","U0E30")
- check("Thai_maihanakat","U0E31")
- check("Thai_saraaa","U0E32")
- check("Thai_saraam","U0E33")
- check("Thai_sarai","U0E34")
- check("Thai_saraii","U0E35")
- check("Thai_saraue","U0E36")
- check("Thai_sarauee","U0E37")
- check("Thai_sarau","U0E38")
- check("Thai_sarauu","U0E39")
- check("Thai_phinthu","U0E3A")
- check("Thai_baht","U0E3F")
- check("Thai_sarae","U0E40")
- check("Thai_saraae","U0E41")
- check("Thai_sarao","U0E42")
- check("Thai_saraaimaimuan","U0E43")
- check("Thai_saraaimaimalai","U0E44")
- check("Thai_lakkhangyao","U0E45")
- check("Thai_maiyamok","U0E46")
- check("Thai_maitaikhu","U0E47")
- check("Thai_maiek","U0E48")
- check("Thai_maitho","U0E49")
- check("Thai_maitri","U0E4A")
- check("Thai_maichattawa","U0E4B")
- check("Thai_thanthakhat","U0E4C")
- check("Thai_nikhahit","U0E4D")
- check("Thai_leksun","U0E50")
- check("Thai_leknung","U0E51")
- check("Thai_leksong","U0E52")
- check("Thai_leksam","U0E53")
- check("Thai_leksi","U0E54")
- check("Thai_lekha","U0E55")
- check("Thai_lekhok","U0E56")
- check("Thai_lekchet","U0E57")
- check("Thai_lekpaet","U0E58")
- check("Thai_lekkao","U0E59")
- check("Korean_Won","U20A9")
- check("Armenian_ligature_ew","U0587")
- check("Armenian_full_stop","U0589")
- check("Armenian_verjaket","U0589")
- check("Armenian_separation_mark","U055D")
- check("Armenian_but","U055D")
- check("Armenian_hyphen","U058A")
- check("Armenian_yentamna","U058A")
- check("Armenian_exclam","U055C")
- check("Armenian_amanak","U055C")
- check("Armenian_accent","U055B")
- check("Armenian_shesht","U055B")
- check("Armenian_question","U055E")
- check("Armenian_paruyk","U055E")
- check("Armenian_AYB","U0531")
- check("Armenian_ayb","U0561")
- check("Armenian_BEN","U0532")
- check("Armenian_ben","U0562")
- check("Armenian_GIM","U0533")
- check("Armenian_gim","U0563")
- check("Armenian_DA","U0534")
- check("Armenian_da","U0564")
- check("Armenian_YECH","U0535")
- check("Armenian_yech","U0565")
- check("Armenian_ZA","U0536")
- check("Armenian_za","U0566")
- check("Armenian_E","U0537")
- check("Armenian_e","U0567")
- check("Armenian_AT","U0538")
- check("Armenian_at","U0568")
- check("Armenian_TO","U0539")
- check("Armenian_to","U0569")
- check("Armenian_ZHE","U053A")
- check("Armenian_zhe","U056A")
- check("Armenian_INI","U053B")
- check("Armenian_ini","U056B")
- check("Armenian_LYUN","U053C")
- check("Armenian_lyun","U056C")
- check("Armenian_KHE","U053D")
- check("Armenian_khe","U056D")
- check("Armenian_TSA","U053E")
- check("Armenian_tsa","U056E")
- check("Armenian_KEN","U053F")
- check("Armenian_ken","U056F")
- check("Armenian_HO","U0540")
- check("Armenian_ho","U0570")
- check("Armenian_DZA","U0541")
- check("Armenian_dza","U0571")
- check("Armenian_GHAT","U0542")
- check("Armenian_ghat","U0572")
- check("Armenian_TCHE","U0543")
- check("Armenian_tche","U0573")
- check("Armenian_MEN","U0544")
- check("Armenian_men","U0574")
- check("Armenian_HI","U0545")
- check("Armenian_hi","U0575")
- check("Armenian_NU","U0546")
- check("Armenian_nu","U0576")
- check("Armenian_SHA","U0547")
- check("Armenian_sha","U0577")
- check("Armenian_VO","U0548")
- check("Armenian_vo","U0578")
- check("Armenian_CHA","U0549")
- check("Armenian_cha","U0579")
- check("Armenian_PE","U054A")
- check("Armenian_pe","U057A")
- check("Armenian_JE","U054B")
- check("Armenian_je","U057B")
- check("Armenian_RA","U054C")
- check("Armenian_ra","U057C")
- check("Armenian_SE","U054D")
- check("Armenian_se","U057D")
- check("Armenian_VEV","U054E")
- check("Armenian_vev","U057E")
- check("Armenian_TYUN","U054F")
- check("Armenian_tyun","U057F")
- check("Armenian_RE","U0550")
- check("Armenian_re","U0580")
- check("Armenian_TSO","U0551")
- check("Armenian_tso","U0581")
- check("Armenian_VYUN","U0552")
- check("Armenian_vyun","U0582")
- check("Armenian_PYUR","U0553")
- check("Armenian_pyur","U0583")
- check("Armenian_KE","U0554")
- check("Armenian_ke","U0584")
- check("Armenian_O","U0555")
- check("Armenian_o","U0585")
- check("Armenian_FE","U0556")
- check("Armenian_fe","U0586")
- check("Armenian_apostrophe","U055A")
- check("Georgian_an","U10D0")
- check("Georgian_ban","U10D1")
- check("Georgian_gan","U10D2")
- check("Georgian_don","U10D3")
- check("Georgian_en","U10D4")
- check("Georgian_vin","U10D5")
- check("Georgian_zen","U10D6")
- check("Georgian_tan","U10D7")
- check("Georgian_in","U10D8")
- check("Georgian_kan","U10D9")
- check("Georgian_las","U10DA")
- check("Georgian_man","U10DB")
- check("Georgian_nar","U10DC")
- check("Georgian_on","U10DD")
- check("Georgian_par","U10DE")
- check("Georgian_zhar","U10DF")
- check("Georgian_rae","U10E0")
- check("Georgian_san","U10E1")
- check("Georgian_tar","U10E2")
- check("Georgian_un","U10E3")
- check("Georgian_phar","U10E4")
- check("Georgian_khar","U10E5")
- check("Georgian_ghan","U10E6")
- check("Georgian_qar","U10E7")
- check("Georgian_shin","U10E8")
- check("Georgian_chin","U10E9")
- check("Georgian_can","U10EA")
- check("Georgian_jil","U10EB")
- check("Georgian_cil","U10EC")
- check("Georgian_char","U10ED")
- check("Georgian_xan","U10EE")
- check("Georgian_jhan","U10EF")
- check("Georgian_hae","U10F0")
- check("Georgian_he","U10F1")
- check("Georgian_hie","U10F2")
- check("Georgian_we","U10F3")
- check("Georgian_har","U10F4")
- check("Georgian_hoe","U10F5")
- check("Georgian_fi","U10F6")
- check("Xabovedot","U1E8A")
- check("Ibreve","U012C")
- check("Zstroke","U01B5")
- check("Gcaron","U01E6")
- check("Ocaron","U01D2")
- check("Obarred","U019F")
- check("xabovedot","U1E8B")
- check("ibreve","U012D")
- check("zstroke","U01B6")
- check("gcaron","U01E7")
- check("ocaron","U01D2")
- check("obarred","U0275")
- check("SCHWA","U018F")
- check("schwa","U0259")
- check("Lbelowdot","U1E36")
- check("lbelowdot","U1E37")
- check("Abelowdot","U1EA0")
- check("abelowdot","U1EA1")
- check("Ahook","U1EA2")
- check("ahook","U1EA3")
- check("Acircumflexacute","U1EA4")
- check("acircumflexacute","U1EA5")
- check("Acircumflexgrave","U1EA6")
- check("acircumflexgrave","U1EA7")
- check("Acircumflexhook","U1EA8")
- check("acircumflexhook","U1EA9")
- check("Acircumflextilde","U1EAA")
- check("acircumflextilde","U1EAB")
- check("Acircumflexbelowdot","U1EAC")
- check("acircumflexbelowdot","U1EAD")
- check("Abreveacute","U1EAE")
- check("abreveacute","U1EAF")
- check("Abrevegrave","U1EB0")
- check("abrevegrave","U1EB1")
- check("Abrevehook","U1EB2")
- check("abrevehook","U1EB3")
- check("Abrevetilde","U1EB4")
- check("abrevetilde","U1EB5")
- check("Abrevebelowdot","U1EB6")
- check("abrevebelowdot","U1EB7")
- check("Ebelowdot","U1EB8")
- check("ebelowdot","U1EB9")
- check("Ehook","U1EBA")
- check("ehook","U1EBB")
- check("Etilde","U1EBC")
- check("etilde","U1EBD")
- check("Ecircumflexacute","U1EBE")
- check("ecircumflexacute","U1EBF")
- check("Ecircumflexgrave","U1EC0")
- check("ecircumflexgrave","U1EC1")
- check("Ecircumflexhook","U1EC2")
- check("ecircumflexhook","U1EC3")
- check("Ecircumflextilde","U1EC4")
- check("ecircumflextilde","U1EC5")
- check("Ecircumflexbelowdot","U1EC6")
- check("ecircumflexbelowdot","U1EC7")
- check("Ihook","U1EC8")
- check("ihook","U1EC9")
- check("Ibelowdot","U1ECA")
- check("ibelowdot","U1ECB")
- check("Obelowdot","U1ECC")
- check("obelowdot","U1ECD")
- check("Ohook","U1ECE")
- check("ohook","U1ECF")
- check("Ocircumflexacute","U1ED0")
- check("ocircumflexacute","U1ED1")
- check("Ocircumflexgrave","U1ED2")
- check("ocircumflexgrave","U1ED3")
- check("Ocircumflexhook","U1ED4")
- check("ocircumflexhook","U1ED5")
- check("Ocircumflextilde","U1ED6")
- check("ocircumflextilde","U1ED7")
- check("Ocircumflexbelowdot","U1ED8")
- check("ocircumflexbelowdot","U1ED9")
- check("Ohornacute","U1EDA")
- check("ohornacute","U1EDB")
- check("Ohorngrave","U1EDC")
- check("ohorngrave","U1EDD")
- check("Ohornhook","U1EDE")
- check("ohornhook","U1EDF")
- check("Ohorntilde","U1EE0")
- check("ohorntilde","U1EE1")
- check("Ohornbelowdot","U1EE2")
- check("ohornbelowdot","U1EE3")
- check("Ubelowdot","U1EE4")
- check("ubelowdot","U1EE5")
- check("Uhook","U1EE6")
- check("uhook","U1EE7")
- check("Uhornacute","U1EE8")
- check("uhornacute","U1EE9")
- check("Uhorngrave","U1EEA")
- check("uhorngrave","U1EEB")
- check("Uhornhook","U1EEC")
- check("uhornhook","U1EED")
- check("Uhorntilde","U1EEE")
- check("uhorntilde","U1EEF")
- check("Uhornbelowdot","U1EF0")
- check("uhornbelowdot","U1EF1")
- check("Ybelowdot","U1EF4")
- check("ybelowdot","U1EF5")
- check("Yhook","U1EF6")
- check("yhook","U1EF7")
- check("Ytilde","U1EF8")
- check("ytilde","U1EF9")
- check("Ohorn","U01A0")
- check("ohorn","U01A1")
- check("Uhorn","U01AF")
- check("uhorn","U01B0")
- check("EcuSign","U20A0")
- check("ColonSign","U20A1")
- check("CruzeiroSign","U20A2")
- check("FFrancSign","U20A3")
- check("LiraSign","U20A4")
- check("MillSign","U20A5")
- check("NairaSign","U20A6")
- check("PesetaSign","U20A7")
- check("RupeeSign","U20A8")
- check("WonSign","U20A9")
- check("NewSheqelSign","U20AA")
- check("DongSign","U20AB")
- check("EuroSign","U20AC")
- check("zerosuperior","U2070")
- check("foursuperior","U2074")
- check("fivesuperior","U2075")
- check("sixsuperior","U2076")
- check("sevensuperior","U2077")
- check("eightsuperior","U2078")
- check("ninesuperior","U2079")
- check("zerosubscript","U2080")
- check("onesubscript","U2081")
- check("twosubscript","U2082")
- check("threesubscript","U2083")
- check("foursubscript","U2084")
- check("fivesubscript","U2085")
- check("sixsubscript","U2086")
- check("sevensubscript","U2087")
- check("eightsubscript","U2088")
- check("ninesubscript","U2089")
- check("partdifferential","U2202")
- check("emptyset","U2205")
- check("elementof","U2208")
- check("notelementof","U2209")
- check("containsas","U220B")
- check("squareroot","U221A")
- check("cuberoot","U221B")
- check("fourthroot","U221C")
- check("dintegral","U222C")
- check("tintegral","U222D")
- check("because","U2235")
- check("approxeq","U2245")
- check("notapproxeq","U2247")
- check("notidentical","U2262")
- check("stricteq","U2263")
- check("braille_blank","U2800")
- check("braille_dots_1","U2801")
- check("braille_dots_2","U2802")
- check("braille_dots_12","U2803")
- check("braille_dots_3","U2804")
- check("braille_dots_13","U2805")
- check("braille_dots_23","U2806")
- check("braille_dots_123","U2807")
- check("braille_dots_4","U2808")
- check("braille_dots_14","U2809")
- check("braille_dots_24","U280a")
- check("braille_dots_124","U280b")
- check("braille_dots_34","U280c")
- check("braille_dots_134","U280d")
- check("braille_dots_234","U280e")
- check("braille_dots_1234","U280f")
- check("braille_dots_5","U2810")
- check("braille_dots_15","U2811")
- check("braille_dots_25","U2812")
- check("braille_dots_125","U2813")
- check("braille_dots_35","U2814")
- check("braille_dots_135","U2815")
- check("braille_dots_235","U2816")
- check("braille_dots_1235","U2817")
- check("braille_dots_45","U2818")
- check("braille_dots_145","U2819")
- check("braille_dots_245","U281a")
- check("braille_dots_1245","U281b")
- check("braille_dots_345","U281c")
- check("braille_dots_1345","U281d")
- check("braille_dots_2345","U281e")
- check("braille_dots_12345","U281f")
- check("braille_dots_6","U2820")
- check("braille_dots_16","U2821")
- check("braille_dots_26","U2822")
- check("braille_dots_126","U2823")
- check("braille_dots_36","U2824")
- check("braille_dots_136","U2825")
- check("braille_dots_236","U2826")
- check("braille_dots_1236","U2827")
- check("braille_dots_46","U2828")
- check("braille_dots_146","U2829")
- check("braille_dots_246","U282a")
- check("braille_dots_1246","U282b")
- check("braille_dots_346","U282c")
- check("braille_dots_1346","U282d")
- check("braille_dots_2346","U282e")
- check("braille_dots_12346","U282f")
- check("braille_dots_56","U2830")
- check("braille_dots_156","U2831")
- check("braille_dots_256","U2832")
- check("braille_dots_1256","U2833")
- check("braille_dots_356","U2834")
- check("braille_dots_1356","U2835")
- check("braille_dots_2356","U2836")
- check("braille_dots_12356","U2837")
- check("braille_dots_456","U2838")
- check("braille_dots_1456","U2839")
- check("braille_dots_2456","U283a")
- check("braille_dots_12456","U283b")
- check("braille_dots_3456","U283c")
- check("braille_dots_13456","U283d")
- check("braille_dots_23456","U283e")
- check("braille_dots_123456","U283f")
- check("braille_dots_7","U2840")
- check("braille_dots_17","U2841")
- check("braille_dots_27","U2842")
- check("braille_dots_127","U2843")
- check("braille_dots_37","U2844")
- check("braille_dots_137","U2845")
- check("braille_dots_237","U2846")
- check("braille_dots_1237","U2847")
- check("braille_dots_47","U2848")
- check("braille_dots_147","U2849")
- check("braille_dots_247","U284a")
- check("braille_dots_1247","U284b")
- check("braille_dots_347","U284c")
- check("braille_dots_1347","U284d")
- check("braille_dots_2347","U284e")
- check("braille_dots_12347","U284f")
- check("braille_dots_57","U2850")
- check("braille_dots_157","U2851")
- check("braille_dots_257","U2852")
- check("braille_dots_1257","U2853")
- check("braille_dots_357","U2854")
- check("braille_dots_1357","U2855")
- check("braille_dots_2357","U2856")
- check("braille_dots_12357","U2857")
- check("braille_dots_457","U2858")
- check("braille_dots_1457","U2859")
- check("braille_dots_2457","U285a")
- check("braille_dots_12457","U285b")
- check("braille_dots_3457","U285c")
- check("braille_dots_13457","U285d")
- check("braille_dots_23457","U285e")
- check("braille_dots_123457","U285f")
- check("braille_dots_67","U2860")
- check("braille_dots_167","U2861")
- check("braille_dots_267","U2862")
- check("braille_dots_1267","U2863")
- check("braille_dots_367","U2864")
- check("braille_dots_1367","U2865")
- check("braille_dots_2367","U2866")
- check("braille_dots_12367","U2867")
- check("braille_dots_467","U2868")
- check("braille_dots_1467","U2869")
- check("braille_dots_2467","U286a")
- check("braille_dots_12467","U286b")
- check("braille_dots_3467","U286c")
- check("braille_dots_13467","U286d")
- check("braille_dots_23467","U286e")
- check("braille_dots_123467","U286f")
- check("braille_dots_567","U2870")
- check("braille_dots_1567","U2871")
- check("braille_dots_2567","U2872")
- check("braille_dots_12567","U2873")
- check("braille_dots_3567","U2874")
- check("braille_dots_13567","U2875")
- check("braille_dots_23567","U2876")
- check("braille_dots_123567","U2877")
- check("braille_dots_4567","U2878")
- check("braille_dots_14567","U2879")
- check("braille_dots_24567","U287a")
- check("braille_dots_124567","U287b")
- check("braille_dots_34567","U287c")
- check("braille_dots_134567","U287d")
- check("braille_dots_234567","U287e")
- check("braille_dots_1234567","U287f")
- check("braille_dots_8","U2880")
- check("braille_dots_18","U2881")
- check("braille_dots_28","U2882")
- check("braille_dots_128","U2883")
- check("braille_dots_38","U2884")
- check("braille_dots_138","U2885")
- check("braille_dots_238","U2886")
- check("braille_dots_1238","U2887")
- check("braille_dots_48","U2888")
- check("braille_dots_148","U2889")
- check("braille_dots_248","U288a")
- check("braille_dots_1248","U288b")
- check("braille_dots_348","U288c")
- check("braille_dots_1348","U288d")
- check("braille_dots_2348","U288e")
- check("braille_dots_12348","U288f")
- check("braille_dots_58","U2890")
- check("braille_dots_158","U2891")
- check("braille_dots_258","U2892")
- check("braille_dots_1258","U2893")
- check("braille_dots_358","U2894")
- check("braille_dots_1358","U2895")
- check("braille_dots_2358","U2896")
- check("braille_dots_12358","U2897")
- check("braille_dots_458","U2898")
- check("braille_dots_1458","U2899")
- check("braille_dots_2458","U289a")
- check("braille_dots_12458","U289b")
- check("braille_dots_3458","U289c")
- check("braille_dots_13458","U289d")
- check("braille_dots_23458","U289e")
- check("braille_dots_123458","U289f")
- check("braille_dots_68","U28a0")
- check("braille_dots_168","U28a1")
- check("braille_dots_268","U28a2")
- check("braille_dots_1268","U28a3")
- check("braille_dots_368","U28a4")
- check("braille_dots_1368","U28a5")
- check("braille_dots_2368","U28a6")
- check("braille_dots_12368","U28a7")
- check("braille_dots_468","U28a8")
- check("braille_dots_1468","U28a9")
- check("braille_dots_2468","U28aa")
- check("braille_dots_12468","U28ab")
- check("braille_dots_3468","U28ac")
- check("braille_dots_13468","U28ad")
- check("braille_dots_23468","U28ae")
- check("braille_dots_123468","U28af")
- check("braille_dots_568","U28b0")
- check("braille_dots_1568","U28b1")
- check("braille_dots_2568","U28b2")
- check("braille_dots_12568","U28b3")
- check("braille_dots_3568","U28b4")
- check("braille_dots_13568","U28b5")
- check("braille_dots_23568","U28b6")
- check("braille_dots_123568","U28b7")
- check("braille_dots_4568","U28b8")
- check("braille_dots_14568","U28b9")
- check("braille_dots_24568","U28ba")
- check("braille_dots_124568","U28bb")
- check("braille_dots_34568","U28bc")
- check("braille_dots_134568","U28bd")
- check("braille_dots_234568","U28be")
- check("braille_dots_1234568","U28bf")
- check("braille_dots_78","U28c0")
- check("braille_dots_178","U28c1")
- check("braille_dots_278","U28c2")
- check("braille_dots_1278","U28c3")
- check("braille_dots_378","U28c4")
- check("braille_dots_1378","U28c5")
- check("braille_dots_2378","U28c6")
- check("braille_dots_12378","U28c7")
- check("braille_dots_478","U28c8")
- check("braille_dots_1478","U28c9")
- check("braille_dots_2478","U28ca")
- check("braille_dots_12478","U28cb")
- check("braille_dots_3478","U28cc")
- check("braille_dots_13478","U28cd")
- check("braille_dots_23478","U28ce")
- check("braille_dots_123478","U28cf")
- check("braille_dots_578","U28d0")
- check("braille_dots_1578","U28d1")
- check("braille_dots_2578","U28d2")
- check("braille_dots_12578","U28d3")
- check("braille_dots_3578","U28d4")
- check("braille_dots_13578","U28d5")
- check("braille_dots_23578","U28d6")
- check("braille_dots_123578","U28d7")
- check("braille_dots_4578","U28d8")
- check("braille_dots_14578","U28d9")
- check("braille_dots_24578","U28da")
- check("braille_dots_124578","U28db")
- check("braille_dots_34578","U28dc")
- check("braille_dots_134578","U28dd")
- check("braille_dots_234578","U28de")
- check("braille_dots_1234578","U28df")
- check("braille_dots_678","U28e0")
- check("braille_dots_1678","U28e1")
- check("braille_dots_2678","U28e2")
- check("braille_dots_12678","U28e3")
- check("braille_dots_3678","U28e4")
- check("braille_dots_13678","U28e5")
- check("braille_dots_23678","U28e6")
- check("braille_dots_123678","U28e7")
- check("braille_dots_4678","U28e8")
- check("braille_dots_14678","U28e9")
- check("braille_dots_24678","U28ea")
- check("braille_dots_124678","U28eb")
- check("braille_dots_34678","U28ec")
- check("braille_dots_134678","U28ed")
- check("braille_dots_234678","U28ee")
- check("braille_dots_1234678","U28ef")
- check("braille_dots_5678","U28f0")
- check("braille_dots_15678","U28f1")
- check("braille_dots_25678","U28f2")
- check("braille_dots_125678","U28f3")
- check("braille_dots_35678","U28f4")
- check("braille_dots_135678","U28f5")
- check("braille_dots_235678","U28f6")
- check("braille_dots_1235678","U28f7")
- check("braille_dots_45678","U28f8")
- check("braille_dots_145678","U28f9")
- check("braille_dots_245678","U28fa")
- check("braille_dots_1245678","U28fb")
- check("braille_dots_345678","U28fc")
- check("braille_dots_1345678","U28fd")
- check("braille_dots_2345678","U28fe")
- check("braille_dots_12345678","U28ff")
- check("NoSymbol","U0020")
+; DefineXKBSym("BackSpace","U232B")
+; DefineXKBSym("Tab","U21E5")
+; DefineXKBSym("Return","U21B2")
+; DefineXKBSym("Escape","U2327")
+; DefineXKBSym("Delete","U2326")
+; DefineXKBSym("Multi_key","U266B")
+; DefineXKBSym("Home","U21F1")
+; DefineXKBSym("Left","U21E0")
+; DefineXKBSym("Up","U21E1")
+; DefineXKBSym("Right","U21E2")
+; DefineXKBSym("Down","U21E3")
+; DefineXKBSym("Prior","U21DE")
+; DefineXKBSym("Page_Up","U21DE")
+; DefineXKBSym("Next","U21DF")
+; DefineXKBSym("Page_Down","U21DF")
+; DefineXKBSym("End","U21F2")
+; DefineXKBSym("Insert","U2380")
+; DefineXKBSym("KP_Enter","U2386")
+; DefineXKBSym("KP_Home","U21F1")
+; DefineXKBSym("KP_Left","U21E0")
+; DefineXKBSym("KP_Up","U21E1")
+; DefineXKBSym("KP_Right","U21E2")
+; DefineXKBSym("KP_Down","U21E3")
+; DefineXKBSym("KP_Prior","U21DE")
+; DefineXKBSym("KP_Page_Up","U21DE")
+; DefineXKBSym("KP_Next","U21DF")
+; DefineXKBSym("KP_Page_Down","U21DF")
+; DefineXKBSym("KP_End","U21F2")
+; DefineXKBSym("KP_Insert","U2380")
+; DefineXKBSym("KP_Delete","U2326")
+; DefineXKBSym("KP_Multiply","U002A")
+; DefineXKBSym("KP_Add","U002B")
+; DefineXKBSym("KP_Subtract","U002D")
+; DefineXKBSym("KP_Decimal","U2396")
+; DefineXKBSym("KP_Divide","U2215")
+; DefineXKBSym("KP_0","U0030")
+; DefineXKBSym("KP_1","U0031")
+; DefineXKBSym("KP_2","U0032")
+; DefineXKBSym("KP_3","U0033")
+; DefineXKBSym("KP_4","U0034")
+; DefineXKBSym("KP_5","U0035")
+; DefineXKBSym("KP_6","U0036")
+; DefineXKBSym("KP_7","U0037")
+; DefineXKBSym("KP_8","U0038")
+; DefineXKBSym("KP_9","U0039")
+ DefineXKBSym("Shift_L","U21E7")
+ DefineXKBSym("Shift_R","U21E7")
+ DefineXKBSym("Shift_Lock","U21E7")
+; DefineXKBSym("ISO_Left_Tab","U21E4")
+; DefineXKBSym("dead_grave","U0060")
+; DefineXKBSym("dead_acute","U02CA")
+; DefineXKBSym("dead_circumflex","U005E")
+; DefineXKBSym("dead_tilde","U007E")
+; DefineXKBSym("dead_macron","U02C9")
+; DefineXKBSym("dead_breve","U0306")
+; DefineXKBSym("dead_abovedot","U02D9")
+; DefineXKBSym("dead_diaeresis","U00A8")
+; DefineXKBSym("dead_abovering","U02DA")
+; DefineXKBSym("dead_doubleacute","U02DD")
+; DefineXKBSym("dead_caron","U02C7")
+; DefineXKBSym("dead_cedilla","U00B8")
+; DefineXKBSym("dead_ogonek","U02DB")
+; DefineXKBSym("dead_belowdot","U0323")
+; DefineXKBSym("dead_stroke","U0335")
+; DefineXKBSym("space","U0020")
+; DefineXKBSym("exclam","U0021")
+; DefineXKBSym("quotedbl","U0022")
+; DefineXKBSym("numbersign","U0023")
+; DefineXKBSym("dollar","U0024")
+; DefineXKBSym("percent","U0025")
+; DefineXKBSym("ampersand","U0026")
+; DefineXKBSym("apostrophe","U0027")
+; DefineXKBSym("parenleft","U0028")
+; DefineXKBSym("parenright","U0029")
+; DefineXKBSym("asterisk","U002A")
+; DefineXKBSym("plus","U002B")
+; DefineXKBSym("comma","U002C")
+; DefineXKBSym("minus","U002D")
+; DefineXKBSym("period","U002E")
+; DefineXKBSym("slash","U002F")
+; DefineXKBSym("0","U0030")
+; DefineXKBSym("1","U0031")
+; DefineXKBSym("2","U0032")
+; DefineXKBSym("3","U0033")
+; DefineXKBSym("4","U0034")
+; DefineXKBSym("5","U0035")
+; DefineXKBSym("6","U0036")
+; DefineXKBSym("7","U0037")
+; DefineXKBSym("8","U0038")
+; DefineXKBSym("9","U0039")
+; DefineXKBSym("colon","U003A")
+; DefineXKBSym("semicolon","U003B")
+; DefineXKBSym("less","U003C")
+; DefineXKBSym("equal","U003D")
+; DefineXKBSym("greater","U003E")
+; DefineXKBSym("question","U003F")
+; DefineXKBSym("at","U0040")
+; DefineXKBSym("A","U0041")
+; DefineXKBSym("B","U0042")
+; DefineXKBSym("C","U0043")
+; DefineXKBSym("D","U0044")
+; DefineXKBSym("E","U0045")
+; DefineXKBSym("F","U0046")
+; DefineXKBSym("G","U0047")
+; DefineXKBSym("H","U0048")
+; DefineXKBSym("I","U0049")
+; DefineXKBSym("J","U004A")
+; DefineXKBSym("K","U004B")
+; DefineXKBSym("L","U004C")
+; DefineXKBSym("M","U004D")
+; DefineXKBSym("N","U004E")
+; DefineXKBSym("O","U004F")
+; DefineXKBSym("P","U0050")
+; DefineXKBSym("Q","U0051")
+; DefineXKBSym("R","U0052")
+; DefineXKBSym("S","U0053")
+; DefineXKBSym("T","U0054")
+; DefineXKBSym("U","U0055")
+; DefineXKBSym("V","U0056")
+; DefineXKBSym("W","U0057")
+; DefineXKBSym("X","U0058")
+; DefineXKBSym("Y","U0059")
+; DefineXKBSym("Z","U005A")
+; DefineXKBSym("bracketleft","U005B")
+; DefineXKBSym("backslash","U005C")
+; DefineXKBSym("bracketright","U005D")
+; DefineXKBSym("asciicircum","U005E")
+; DefineXKBSym("underscore","U005F")
+; DefineXKBSym("grave","U0060")
+; DefineXKBSym("a","U0061")
+; DefineXKBSym("b","U0062")
+; DefineXKBSym("c","U0063")
+; DefineXKBSym("d","U0064")
+; DefineXKBSym("e","U0065")
+; DefineXKBSym("f","U0066")
+; DefineXKBSym("g","U0067")
+; DefineXKBSym("h","U0068")
+; DefineXKBSym("i","U0069")
+; DefineXKBSym("j","U006A")
+; DefineXKBSym("k","U006B")
+; DefineXKBSym("l","U006C")
+; DefineXKBSym("m","U006D")
+; DefineXKBSym("n","U006E")
+; DefineXKBSym("o","U006F")
+; DefineXKBSym("p","U0070")
+; DefineXKBSym("q","U0071")
+; DefineXKBSym("r","U0072")
+; DefineXKBSym("s","U0073")
+; DefineXKBSym("t","U0074")
+; DefineXKBSym("u","U0075")
+; DefineXKBSym("v","U0076")
+; DefineXKBSym("w","U0077")
+; DefineXKBSym("x","U0078")
+; DefineXKBSym("y","U0079")
+; DefineXKBSym("z","U007A")
+; DefineXKBSym("braceleft","U007B")
+; DefineXKBSym("bar","U007C")
+; DefineXKBSym("braceright","U007D")
+; DefineXKBSym("asciitilde","U007E")
+ DefineXKBSym("nobreakspace","U00A0")
+ DefineXKBSym("exclamdown","U00A1")
+ DefineXKBSym("cent","U00A2")
+ DefineXKBSym("sterling","U00A3")
+ DefineXKBSym("currency","U00A4")
+ DefineXKBSym("yen","U00A5")
+ DefineXKBSym("brokenbar","U00A6")
+ DefineXKBSym("section","U00A7")
+ DefineXKBSym("diaeresis","U00A8")
+ DefineXKBSym("copyright","U00A9")
+ DefineXKBSym("ordfeminine","U00AA")
+ DefineXKBSym("guillemotleft","U00AB")
+ DefineXKBSym("notsign","U00AC")
+ DefineXKBSym("hyphen","U00AD")
+ DefineXKBSym("registered","U00AE")
+ DefineXKBSym("macron","U00AF")
+ DefineXKBSym("degree","U00B0")
+ DefineXKBSym("plusminus","U00B1")
+ DefineXKBSym("twosuperior","U00B2")
+ DefineXKBSym("threesuperior","U00B3")
+ DefineXKBSym("acute","U00B4")
+ DefineXKBSym("mu","U00B5")
+ DefineXKBSym("paragraph","U00B6")
+ DefineXKBSym("periodcentered","U00B7")
+ DefineXKBSym("cedilla","U00B8")
+ DefineXKBSym("onesuperior","U00B9")
+ DefineXKBSym("masculine","U00BA")
+ DefineXKBSym("guillemotright","U00BB")
+ DefineXKBSym("onequarter","U00BC")
+ DefineXKBSym("onehalf","U00BD")
+ DefineXKBSym("threequarters","U00BE")
+ DefineXKBSym("questiondown","U00BF")
+ DefineXKBSym("Agrave","U00C0")
+ DefineXKBSym("Aacute","U00C1")
+ DefineXKBSym("Acircumflex","U00C2")
+ DefineXKBSym("Atilde","U00C3")
+ DefineXKBSym("Adiaeresis","U00C4")
+ DefineXKBSym("Aring","U00C5")
+ DefineXKBSym("AE","U00C6")
+ DefineXKBSym("Ccedilla","U00C7")
+ DefineXKBSym("Egrave","U00C8")
+ DefineXKBSym("Eacute","U00C9")
+ DefineXKBSym("Ecircumflex","U00CA")
+ DefineXKBSym("Ediaeresis","U00CB")
+ DefineXKBSym("Igrave","U00CC")
+ DefineXKBSym("Iacute","U00CD")
+ DefineXKBSym("Icircumflex","U00CE")
+ DefineXKBSym("Idiaeresis","U00CF")
+ DefineXKBSym("ETH","U00D0")
+ DefineXKBSym("Eth","U00D0") ; deprecated
+ DefineXKBSym("Ntilde","U00D1")
+ DefineXKBSym("Ograve","U00D2")
+ DefineXKBSym("Oacute","U00D3")
+ DefineXKBSym("Ocircumflex","U00D4")
+ DefineXKBSym("Otilde","U00D5")
+ DefineXKBSym("Odiaeresis","U00D6")
+ DefineXKBSym("multiply","U00D7")
+ DefineXKBSym("Oslash","U00D8")
+ DefineXKBSym("Ooblique","U00D8")
+ DefineXKBSym("Ugrave","U00D9")
+ DefineXKBSym("Uacute","U00DA")
+ DefineXKBSym("Ucircumflex","U00DB")
+ DefineXKBSym("Udiaeresis","U00DC")
+ DefineXKBSym("Yacute","U00DD")
+ DefineXKBSym("THORN","U00DE")
+ DefineXKBSym("Thorn","U00DE") ; deprecated
+ DefineXKBSym("ssharp","U00DF")
+ DefineXKBSym("agrave","U00E0")
+ DefineXKBSym("aacute","U00E1")
+ DefineXKBSym("acircumflex","U00E2")
+ DefineXKBSym("atilde","U00E3")
+ DefineXKBSym("adiaeresis","U00E4")
+ DefineXKBSym("aring","U00E5")
+ DefineXKBSym("ae","U00E6")
+ DefineXKBSym("ccedilla","U00E7")
+ DefineXKBSym("egrave","U00E8")
+ DefineXKBSym("eacute","U00E9")
+ DefineXKBSym("ecircumflex","U00EA")
+ DefineXKBSym("ediaeresis","U00EB")
+ DefineXKBSym("igrave","U00EC")
+ DefineXKBSym("iacute","U00ED")
+ DefineXKBSym("icircumflex","U00EE")
+ DefineXKBSym("idiaeresis","U00EF")
+ DefineXKBSym("eth","U00F0")
+ DefineXKBSym("ntilde","U00F1")
+ DefineXKBSym("ograve","U00F2")
+ DefineXKBSym("oacute","U00F3")
+ DefineXKBSym("ocircumflex","U00F4")
+ DefineXKBSym("otilde","U00F5")
+ DefineXKBSym("odiaeresis","U00F6")
+ DefineXKBSym("division","U00F7")
+ DefineXKBSym("oslash","U00F8")
+ DefineXKBSym("ooblique","U00F8")
+ DefineXKBSym("ugrave","U00F9")
+ DefineXKBSym("uacute","U00FA")
+ DefineXKBSym("ucircumflex","U00FB")
+ DefineXKBSym("udiaeresis","U00FC")
+ DefineXKBSym("yacute","U00FD")
+ DefineXKBSym("thorn","U00FE")
+ DefineXKBSym("ydiaeresis","U00FF")
+ DefineXKBSym("Aogonek","U0104")
+ DefineXKBSym("breve","U02D8")
+ DefineXKBSym("Lstroke","U0141")
+ DefineXKBSym("Lcaron","U013D")
+ DefineXKBSym("Sacute","U015A")
+ DefineXKBSym("Scaron","U0160")
+ DefineXKBSym("Scedilla","U015E")
+ DefineXKBSym("Tcaron","U0164")
+ DefineXKBSym("Zacute","U0179")
+ DefineXKBSym("Zcaron","U017D")
+ DefineXKBSym("Zabovedot","U017B")
+ DefineXKBSym("aogonek","U0105")
+ DefineXKBSym("ogonek","U02DB")
+ DefineXKBSym("lstroke","U0142")
+ DefineXKBSym("lcaron","U013E")
+ DefineXKBSym("sacute","U015B")
+ DefineXKBSym("caron","U02C7")
+ DefineXKBSym("scaron","U0161")
+ DefineXKBSym("scedilla","U015F")
+ DefineXKBSym("tcaron","U0165")
+ DefineXKBSym("zacute","U017A")
+ DefineXKBSym("doubleacute","U02DD")
+ DefineXKBSym("zcaron","U017E")
+ DefineXKBSym("zabovedot","U017C")
+ DefineXKBSym("Racute","U0154")
+ DefineXKBSym("Abreve","U0102")
+ DefineXKBSym("Lacute","U0139")
+ DefineXKBSym("Cacute","U0106")
+ DefineXKBSym("Ccaron","U010C")
+ DefineXKBSym("Eogonek","U0118")
+ DefineXKBSym("Ecaron","U011A")
+ DefineXKBSym("Dcaron","U010E")
+ DefineXKBSym("Dstroke","U0110")
+ DefineXKBSym("Nacute","U0143")
+ DefineXKBSym("Ncaron","U0147")
+ DefineXKBSym("Odoubleacute","U0150")
+ DefineXKBSym("Rcaron","U0158")
+ DefineXKBSym("Uring","U016E")
+ DefineXKBSym("Udoubleacute","U0170")
+ DefineXKBSym("Tcedilla","U0162")
+ DefineXKBSym("racute","U0155")
+ DefineXKBSym("abreve","U0103")
+ DefineXKBSym("lacute","U013A")
+ DefineXKBSym("cacute","U0107")
+ DefineXKBSym("ccaron","U010D")
+ DefineXKBSym("eogonek","U0119")
+ DefineXKBSym("ecaron","U011B")
+ DefineXKBSym("dcaron","U010F")
+ DefineXKBSym("dstroke","U0111")
+ DefineXKBSym("nacute","U0144")
+ DefineXKBSym("ncaron","U0148")
+ DefineXKBSym("odoubleacute","U0151")
+ DefineXKBSym("udoubleacute","U0171")
+ DefineXKBSym("rcaron","U0159")
+ DefineXKBSym("uring","U016F")
+ DefineXKBSym("tcedilla","U0163")
+ DefineXKBSym("abovedot","U02D9")
+ DefineXKBSym("Hstroke","U0126")
+ DefineXKBSym("Hcircumflex","U0124")
+ DefineXKBSym("Iabovedot","U0130")
+ DefineXKBSym("Gbreve","U011E")
+ DefineXKBSym("Jcircumflex","U0134")
+ DefineXKBSym("hstroke","U0127")
+ DefineXKBSym("hcircumflex","U0125")
+ DefineXKBSym("idotless","U0131")
+ DefineXKBSym("gbreve","U011F")
+ DefineXKBSym("jcircumflex","U0135")
+ DefineXKBSym("Cabovedot","U010A")
+ DefineXKBSym("Ccircumflex","U0108")
+ DefineXKBSym("Gabovedot","U0120")
+ DefineXKBSym("Gcircumflex","U011C")
+ DefineXKBSym("Ubreve","U016C")
+ DefineXKBSym("Scircumflex","U015C")
+ DefineXKBSym("cabovedot","U010B")
+ DefineXKBSym("ccircumflex","U0109")
+ DefineXKBSym("gabovedot","U0121")
+ DefineXKBSym("gcircumflex","U011D")
+ DefineXKBSym("ubreve","U016D")
+ DefineXKBSym("scircumflex","U015D")
+ DefineXKBSym("kra","U0138")
+ DefineXKBSym("Rcedilla","U0156")
+ DefineXKBSym("Itilde","U0128")
+ DefineXKBSym("Lcedilla","U013B")
+ DefineXKBSym("Emacron","U0112")
+ DefineXKBSym("Gcedilla","U0122")
+ DefineXKBSym("Tslash","U0166")
+ DefineXKBSym("rcedilla","U0157")
+ DefineXKBSym("itilde","U0129")
+ DefineXKBSym("lcedilla","U013C")
+ DefineXKBSym("emacron","U0113")
+ DefineXKBSym("gcedilla","U0123")
+ DefineXKBSym("tslash","U0167")
+ DefineXKBSym("ENG","U014A")
+ DefineXKBSym("eng","U014B")
+ DefineXKBSym("Amacron","U0100")
+ DefineXKBSym("Iogonek","U012E")
+ DefineXKBSym("Eabovedot","U0116")
+ DefineXKBSym("Imacron","U012A")
+ DefineXKBSym("Ncedilla","U0145")
+ DefineXKBSym("Omacron","U014C")
+ DefineXKBSym("Kcedilla","U0136")
+ DefineXKBSym("Uogonek","U0172")
+ DefineXKBSym("Utilde","U0168")
+ DefineXKBSym("Umacron","U016A")
+ DefineXKBSym("amacron","U0101")
+ DefineXKBSym("iogonek","U012F")
+ DefineXKBSym("eabovedot","U0117")
+ DefineXKBSym("imacron","U012B")
+ DefineXKBSym("ncedilla","U0146")
+ DefineXKBSym("omacron","U014D")
+ DefineXKBSym("kcedilla","U0137")
+ DefineXKBSym("uogonek","U0173")
+ DefineXKBSym("utilde","U0169")
+ DefineXKBSym("umacron","U016B")
+ DefineXKBSym("Babovedot","U1E02")
+ DefineXKBSym("babovedot","U1E03")
+ DefineXKBSym("Dabovedot","U1E0A")
+ DefineXKBSym("Wgrave","U1E80")
+ DefineXKBSym("Wacute","U1E82")
+ DefineXKBSym("dabovedot","U1E0B")
+ DefineXKBSym("Ygrave","U1EF2")
+ DefineXKBSym("Fabovedot","U1E1E")
+ DefineXKBSym("fabovedot","U1E1F")
+ DefineXKBSym("Mabovedot","U1E40")
+ DefineXKBSym("mabovedot","U1E41")
+ DefineXKBSym("Pabovedot","U1E56")
+ DefineXKBSym("wgrave","U1E81")
+ DefineXKBSym("pabovedot","U1E57")
+ DefineXKBSym("wacute","U1E83")
+ DefineXKBSym("Sabovedot","U1E60")
+ DefineXKBSym("ygrave","U1EF3")
+ DefineXKBSym("Wdiaeresis","U1E84")
+ DefineXKBSym("wdiaeresis","U1E85")
+ DefineXKBSym("sabovedot","U1E61")
+ DefineXKBSym("Wcircumflex","U0174")
+ DefineXKBSym("Tabovedot","U1E6A")
+ DefineXKBSym("Ycircumflex","U0176")
+ DefineXKBSym("wcircumflex","U0175")
+ DefineXKBSym("tabovedot","U1E6B")
+ DefineXKBSym("ycircumflex","U0177")
+ DefineXKBSym("OE","U0152")
+ DefineXKBSym("oe","U0153")
+ DefineXKBSym("Ydiaeresis","U0178")
+ DefineXKBSym("overline","U203E")
+ DefineXKBSym("kana_fullstop","U3002")
+ DefineXKBSym("kana_openingbracket","U300C")
+ DefineXKBSym("kana_closingbracket","U300D")
+ DefineXKBSym("kana_comma","U3001")
+ DefineXKBSym("kana_conjunctive","U30FB")
+ DefineXKBSym("kana_WO","U30F2")
+ DefineXKBSym("kana_a","U30A1")
+ DefineXKBSym("kana_i","U30A3")
+ DefineXKBSym("kana_u","U30A5")
+ DefineXKBSym("kana_e","U30A7")
+ DefineXKBSym("kana_o","U30A9")
+ DefineXKBSym("kana_ya","U30E3")
+ DefineXKBSym("kana_yu","U30E5")
+ DefineXKBSym("kana_yo","U30E7")
+ DefineXKBSym("kana_tsu","U30C3")
+ DefineXKBSym("prolongedsound","U30FC")
+ DefineXKBSym("kana_A","U30A2")
+ DefineXKBSym("kana_I","U30A4")
+ DefineXKBSym("kana_U","U30A6")
+ DefineXKBSym("kana_E","U30A8")
+ DefineXKBSym("kana_O","U30AA")
+ DefineXKBSym("kana_KA","U30AB")
+ DefineXKBSym("kana_KI","U30AD")
+ DefineXKBSym("kana_KU","U30AF")
+ DefineXKBSym("kana_KE","U30B1")
+ DefineXKBSym("kana_KO","U30B3")
+ DefineXKBSym("kana_SA","U30B5")
+ DefineXKBSym("kana_SHI","U30B7")
+ DefineXKBSym("kana_SU","U30B9")
+ DefineXKBSym("kana_SE","U30BB")
+ DefineXKBSym("kana_SO","U30BD")
+ DefineXKBSym("kana_TA","U30BF")
+ DefineXKBSym("kana_CHI","U30C1")
+ DefineXKBSym("kana_TSU","U30C4")
+ DefineXKBSym("kana_TE","U30C6")
+ DefineXKBSym("kana_TO","U30C8")
+ DefineXKBSym("kana_NA","U30CA")
+ DefineXKBSym("kana_NI","U30CB")
+ DefineXKBSym("kana_NU","U30CC")
+ DefineXKBSym("kana_NE","U30CD")
+ DefineXKBSym("kana_NO","U30CE")
+ DefineXKBSym("kana_HA","U30CF")
+ DefineXKBSym("kana_HI","U30D2")
+ DefineXKBSym("kana_FU","U30D5")
+ DefineXKBSym("kana_HE","U30D8")
+ DefineXKBSym("kana_HO","U30DB")
+ DefineXKBSym("kana_MA","U30DE")
+ DefineXKBSym("kana_MI","U30DF")
+ DefineXKBSym("kana_MU","U30E0")
+ DefineXKBSym("kana_ME","U30E1")
+ DefineXKBSym("kana_MO","U30E2")
+ DefineXKBSym("kana_YA","U30E4")
+ DefineXKBSym("kana_YU","U30E6")
+ DefineXKBSym("kana_YO","U30E8")
+ DefineXKBSym("kana_RA","U30E9")
+ DefineXKBSym("kana_RI","U30EA")
+ DefineXKBSym("kana_RU","U30EB")
+ DefineXKBSym("kana_RE","U30EC")
+ DefineXKBSym("kana_RO","U30ED")
+ DefineXKBSym("kana_WA","U30EF")
+ DefineXKBSym("kana_N","U30F3")
+ DefineXKBSym("voicedsound","U309B")
+ DefineXKBSym("semivoicedsound","U309C")
+ DefineXKBSym("Farsi_0","U06F0")
+ DefineXKBSym("Farsi_1","U06F1")
+ DefineXKBSym("Farsi_2","U06F2")
+ DefineXKBSym("Farsi_3","U06F3")
+ DefineXKBSym("Farsi_4","U06F4")
+ DefineXKBSym("Farsi_5","U06F5")
+ DefineXKBSym("Farsi_6","U06F6")
+ DefineXKBSym("Farsi_7","U06F7")
+ DefineXKBSym("Farsi_8","U06F8")
+ DefineXKBSym("Farsi_9","U06F9")
+ DefineXKBSym("Arabic_percent","U066A")
+ DefineXKBSym("Arabic_superscript_alef","U0670")
+ DefineXKBSym("Arabic_tteh","U0679")
+ DefineXKBSym("Arabic_peh","U067E")
+ DefineXKBSym("Arabic_tcheh","U0686")
+ DefineXKBSym("Arabic_ddal","U0688")
+ DefineXKBSym("Arabic_rreh","U0691")
+ DefineXKBSym("Arabic_comma","U060C")
+ DefineXKBSym("Arabic_fullstop","U06D4")
+ DefineXKBSym("Arabic_0","U0660")
+ DefineXKBSym("Arabic_1","U0661")
+ DefineXKBSym("Arabic_2","U0662")
+ DefineXKBSym("Arabic_3","U0663")
+ DefineXKBSym("Arabic_4","U0664")
+ DefineXKBSym("Arabic_5","U0665")
+ DefineXKBSym("Arabic_6","U0666")
+ DefineXKBSym("Arabic_7","U0667")
+ DefineXKBSym("Arabic_8","U0668")
+ DefineXKBSym("Arabic_9","U0669")
+ DefineXKBSym("Arabic_semicolon","U061B")
+ DefineXKBSym("Arabic_question_mark","U061F")
+ DefineXKBSym("Arabic_hamza","U0621")
+ DefineXKBSym("Arabic_maddaonalef","U0622")
+ DefineXKBSym("Arabic_hamzaonalef","U0623")
+ DefineXKBSym("Arabic_hamzaonwaw","U0624")
+ DefineXKBSym("Arabic_hamzaunderalef","U0625")
+ DefineXKBSym("Arabic_hamzaonyeh","U0626")
+ DefineXKBSym("Arabic_alef","U0627")
+ DefineXKBSym("Arabic_beh","U0628")
+ DefineXKBSym("Arabic_tehmarbuta","U0629")
+ DefineXKBSym("Arabic_teh","U062A")
+ DefineXKBSym("Arabic_theh","U062B")
+ DefineXKBSym("Arabic_jeem","U062C")
+ DefineXKBSym("Arabic_hah","U062D")
+ DefineXKBSym("Arabic_khah","U062E")
+ DefineXKBSym("Arabic_dal","U062F")
+ DefineXKBSym("Arabic_thal","U0630")
+ DefineXKBSym("Arabic_ra","U0631")
+ DefineXKBSym("Arabic_zain","U0632")
+ DefineXKBSym("Arabic_seen","U0633")
+ DefineXKBSym("Arabic_sheen","U0634")
+ DefineXKBSym("Arabic_sad","U0635")
+ DefineXKBSym("Arabic_dad","U0636")
+ DefineXKBSym("Arabic_tah","U0637")
+ DefineXKBSym("Arabic_zah","U0638")
+ DefineXKBSym("Arabic_ain","U0639")
+ DefineXKBSym("Arabic_ghain","U063A")
+ DefineXKBSym("Arabic_tatweel","U0640")
+ DefineXKBSym("Arabic_feh","U0641")
+ DefineXKBSym("Arabic_qaf","U0642")
+ DefineXKBSym("Arabic_kaf","U0643")
+ DefineXKBSym("Arabic_lam","U0644")
+ DefineXKBSym("Arabic_meem","U0645")
+ DefineXKBSym("Arabic_noon","U0646")
+ DefineXKBSym("Arabic_ha","U0647")
+ DefineXKBSym("Arabic_waw","U0648")
+ DefineXKBSym("Arabic_alefmaksura","U0649")
+ DefineXKBSym("Arabic_yeh","U064A")
+ DefineXKBSym("Arabic_fathatan","U064B")
+ DefineXKBSym("Arabic_dammatan","U064C")
+ DefineXKBSym("Arabic_kasratan","U064D")
+ DefineXKBSym("Arabic_fatha","U064E")
+ DefineXKBSym("Arabic_damma","U064F")
+ DefineXKBSym("Arabic_kasra","U0650")
+ DefineXKBSym("Arabic_shadda","U0651")
+ DefineXKBSym("Arabic_sukun","U0652")
+ DefineXKBSym("Arabic_madda_above","U0653")
+ DefineXKBSym("Arabic_hamza_above","U0654")
+ DefineXKBSym("Arabic_hamza_below","U0655")
+ DefineXKBSym("Arabic_jeh","U0698")
+ DefineXKBSym("Arabic_veh","U06A4")
+ DefineXKBSym("Arabic_keheh","U06A9")
+ DefineXKBSym("Arabic_gaf","U06AF")
+ DefineXKBSym("Arabic_noon_ghunna","U06BA")
+ DefineXKBSym("Arabic_heh_doachashmee","U06BE")
+ DefineXKBSym("Farsi_yeh","U06CC")
+ DefineXKBSym("Arabic_farsi_yeh","U06CC")
+ DefineXKBSym("Arabic_yeh_baree","U06D2")
+ DefineXKBSym("Arabic_heh_goal","U06C1")
+ DefineXKBSym("Cyrillic_GHE_bar","U0492")
+ DefineXKBSym("Cyrillic_ghe_bar","U0493")
+ DefineXKBSym("Cyrillic_ZHE_descender","U0496")
+ DefineXKBSym("Cyrillic_zhe_descender","U0497")
+ DefineXKBSym("Cyrillic_KA_descender","U049A")
+ DefineXKBSym("Cyrillic_ka_descender","U049B")
+ DefineXKBSym("Cyrillic_KA_vertstroke","U049C")
+ DefineXKBSym("Cyrillic_ka_vertstroke","U049D")
+ DefineXKBSym("Cyrillic_EN_descender","U04A2")
+ DefineXKBSym("Cyrillic_en_descender","U04A3")
+ DefineXKBSym("Cyrillic_U_straight","U04AE")
+ DefineXKBSym("Cyrillic_u_straight","U04AF")
+ DefineXKBSym("Cyrillic_U_straight_bar","U04B0")
+ DefineXKBSym("Cyrillic_u_straight_bar","U04B1")
+ DefineXKBSym("Cyrillic_HA_descender","U04B2")
+ DefineXKBSym("Cyrillic_ha_descender","U04B3")
+ DefineXKBSym("Cyrillic_CHE_descender","U04B6")
+ DefineXKBSym("Cyrillic_che_descender","U04B7")
+ DefineXKBSym("Cyrillic_CHE_vertstroke","U04B8")
+ DefineXKBSym("Cyrillic_che_vertstroke","U04B9")
+ DefineXKBSym("Cyrillic_SHHA","U04BA")
+ DefineXKBSym("Cyrillic_shha","U04BB")
+ DefineXKBSym("Cyrillic_SCHWA","U04D8")
+ DefineXKBSym("Cyrillic_schwa","U04D9")
+ DefineXKBSym("Cyrillic_I_macron","U04E2")
+ DefineXKBSym("Cyrillic_i_macron","U04E3")
+ DefineXKBSym("Cyrillic_O_bar","U04E8")
+ DefineXKBSym("Cyrillic_o_bar","U04E9")
+ DefineXKBSym("Cyrillic_U_macron","U04EE")
+ DefineXKBSym("Cyrillic_u_macron","U04EF")
+ DefineXKBSym("Serbian_dje","U0452")
+ DefineXKBSym("Macedonia_gje","U0453")
+ DefineXKBSym("Cyrillic_io","U0451")
+ DefineXKBSym("Ukrainian_ie","U0454")
+ DefineXKBSym("Macedonia_dse","U0455")
+ DefineXKBSym("Ukrainian_i","U0456")
+ DefineXKBSym("Ukrainian_yi","U0457")
+ DefineXKBSym("Cyrillic_je","U0458")
+ DefineXKBSym("Cyrillic_lje","U0459")
+ DefineXKBSym("Cyrillic_nje","U045A")
+ DefineXKBSym("Serbian_tshe","U045B")
+ DefineXKBSym("Macedonia_kje","U045C")
+ DefineXKBSym("Ukrainian_ghe_with_upturn","U0491")
+ DefineXKBSym("Byelorussian_shortu","U045E")
+ DefineXKBSym("Cyrillic_dzhe","U045F")
+ DefineXKBSym("numerosign","U2116")
+ DefineXKBSym("Serbian_DJE","U0402")
+ DefineXKBSym("Macedonia_GJE","U0403")
+ DefineXKBSym("Cyrillic_IO","U0401")
+ DefineXKBSym("Ukrainian_IE","U0404")
+ DefineXKBSym("Macedonia_DSE","U0405")
+ DefineXKBSym("Ukrainian_I","U0406")
+ DefineXKBSym("Ukrainian_YI","U0407")
+ DefineXKBSym("Cyrillic_JE","U0408")
+ DefineXKBSym("Cyrillic_LJE","U0409")
+ DefineXKBSym("Cyrillic_NJE","U040A")
+ DefineXKBSym("Serbian_TSHE","U040B")
+ DefineXKBSym("Macedonia_KJE","U040C")
+ DefineXKBSym("Ukrainian_GHE_WITH_UPTURN","U0490")
+ DefineXKBSym("Byelorussian_SHORTU","U040E")
+ DefineXKBSym("Cyrillic_DZHE","U040F")
+ DefineXKBSym("Cyrillic_yu","U044E")
+ DefineXKBSym("Cyrillic_a","U0430")
+ DefineXKBSym("Cyrillic_be","U0431")
+ DefineXKBSym("Cyrillic_tse","U0446")
+ DefineXKBSym("Cyrillic_de","U0434")
+ DefineXKBSym("Cyrillic_ie","U0435")
+ DefineXKBSym("Cyrillic_ef","U0444")
+ DefineXKBSym("Cyrillic_ghe","U0433")
+ DefineXKBSym("Cyrillic_ha","U0445")
+ DefineXKBSym("Cyrillic_i","U0438")
+ DefineXKBSym("Cyrillic_shorti","U0439")
+ DefineXKBSym("Cyrillic_ka","U043A")
+ DefineXKBSym("Cyrillic_el","U043B")
+ DefineXKBSym("Cyrillic_em","U043C")
+ DefineXKBSym("Cyrillic_en","U043D")
+ DefineXKBSym("Cyrillic_o","U043E")
+ DefineXKBSym("Cyrillic_pe","U043F")
+ DefineXKBSym("Cyrillic_ya","U044F")
+ DefineXKBSym("Cyrillic_er","U0440")
+ DefineXKBSym("Cyrillic_es","U0441")
+ DefineXKBSym("Cyrillic_te","U0442")
+ DefineXKBSym("Cyrillic_u","U0443")
+ DefineXKBSym("Cyrillic_zhe","U0436")
+ DefineXKBSym("Cyrillic_ve","U0432")
+ DefineXKBSym("Cyrillic_softsign","U044C")
+ DefineXKBSym("Cyrillic_yeru","U044B")
+ DefineXKBSym("Cyrillic_ze","U0437")
+ DefineXKBSym("Cyrillic_sha","U0448")
+ DefineXKBSym("Cyrillic_e","U044D")
+ DefineXKBSym("Cyrillic_shcha","U0449")
+ DefineXKBSym("Cyrillic_che","U0447")
+ DefineXKBSym("Cyrillic_hardsign","U044A")
+ DefineXKBSym("Cyrillic_YU","U042E")
+ DefineXKBSym("Cyrillic_A","U0410")
+ DefineXKBSym("Cyrillic_BE","U0411")
+ DefineXKBSym("Cyrillic_TSE","U0426")
+ DefineXKBSym("Cyrillic_DE","U0414")
+ DefineXKBSym("Cyrillic_IE","U0415")
+ DefineXKBSym("Cyrillic_EF","U0424")
+ DefineXKBSym("Cyrillic_GHE","U0413")
+ DefineXKBSym("Cyrillic_HA","U0425")
+ DefineXKBSym("Cyrillic_I","U0418")
+ DefineXKBSym("Cyrillic_SHORTI","U0419")
+ DefineXKBSym("Cyrillic_KA","U041A")
+ DefineXKBSym("Cyrillic_EL","U041B")
+ DefineXKBSym("Cyrillic_EM","U041C")
+ DefineXKBSym("Cyrillic_EN","U041D")
+ DefineXKBSym("Cyrillic_O","U041E")
+ DefineXKBSym("Cyrillic_PE","U041F")
+ DefineXKBSym("Cyrillic_YA","U042F")
+ DefineXKBSym("Cyrillic_ER","U0420")
+ DefineXKBSym("Cyrillic_ES","U0421")
+ DefineXKBSym("Cyrillic_TE","U0422")
+ DefineXKBSym("Cyrillic_U","U0423")
+ DefineXKBSym("Cyrillic_ZHE","U0416")
+ DefineXKBSym("Cyrillic_VE","U0412")
+ DefineXKBSym("Cyrillic_SOFTSIGN","U042C")
+ DefineXKBSym("Cyrillic_YERU","U042B")
+ DefineXKBSym("Cyrillic_ZE","U0417")
+ DefineXKBSym("Cyrillic_SHA","U0428")
+ DefineXKBSym("Cyrillic_E","U042D")
+ DefineXKBSym("Cyrillic_SHCHA","U0429")
+ DefineXKBSym("Cyrillic_CHE","U0427")
+ DefineXKBSym("Cyrillic_HARDSIGN","U042A")
+ DefineXKBSym("Greek_ALPHAaccent","U0386")
+ DefineXKBSym("Greek_EPSILONaccent","U0388")
+ DefineXKBSym("Greek_ETAaccent","U0389")
+ DefineXKBSym("Greek_IOTAaccent","U038A")
+ DefineXKBSym("Greek_IOTAdieresis","U03AA")
+ DefineXKBSym("Greek_OMICRONaccent","U038C")
+ DefineXKBSym("Greek_UPSILONaccent","U038E")
+ DefineXKBSym("Greek_UPSILONdieresis","U03AB")
+ DefineXKBSym("Greek_OMEGAaccent","U038F")
+ DefineXKBSym("Greek_accentdieresis","U0385")
+ DefineXKBSym("Greek_horizbar","U2015")
+ DefineXKBSym("Greek_alphaaccent","U03AC")
+ DefineXKBSym("Greek_epsilonaccent","U03AD")
+ DefineXKBSym("Greek_etaaccent","U03AE")
+ DefineXKBSym("Greek_iotaaccent","U03AF")
+ DefineXKBSym("Greek_iotadieresis","U03CA")
+ DefineXKBSym("Greek_iotaaccentdieresis","U0390")
+ DefineXKBSym("Greek_omicronaccent","U03CC")
+ DefineXKBSym("Greek_upsilonaccent","U03CD")
+ DefineXKBSym("Greek_upsilondieresis","U03CB")
+ DefineXKBSym("Greek_upsilonaccentdieresis","U03B0")
+ DefineXKBSym("Greek_omegaaccent","U03CE")
+ DefineXKBSym("Greek_ALPHA","U0391")
+ DefineXKBSym("Greek_BETA","U0392")
+ DefineXKBSym("Greek_GAMMA","U0393")
+ DefineXKBSym("Greek_DELTA","U0394")
+ DefineXKBSym("Greek_EPSILON","U0395")
+ DefineXKBSym("Greek_ZETA","U0396")
+ DefineXKBSym("Greek_ETA","U0397")
+ DefineXKBSym("Greek_THETA","U0398")
+ DefineXKBSym("Greek_IOTA","U0399")
+ DefineXKBSym("Greek_KAPPA","U039A")
+ DefineXKBSym("Greek_LAMDA","U039B")
+ DefineXKBSym("Greek_LAMBDA","U039B")
+ DefineXKBSym("Greek_MU","U039C")
+ DefineXKBSym("Greek_NU","U039D")
+ DefineXKBSym("Greek_XI","U039E")
+ DefineXKBSym("Greek_OMICRON","U039F")
+ DefineXKBSym("Greek_PI","U03A0")
+ DefineXKBSym("Greek_RHO","U03A1")
+ DefineXKBSym("Greek_SIGMA","U03A3")
+ DefineXKBSym("Greek_TAU","U03A4")
+ DefineXKBSym("Greek_UPSILON","U03A5")
+ DefineXKBSym("Greek_PHI","U03A6")
+ DefineXKBSym("Greek_CHI","U03A7")
+ DefineXKBSym("Greek_PSI","U03A8")
+ DefineXKBSym("Greek_OMEGA","U03A9")
+ DefineXKBSym("Greek_alpha","U03B1")
+ DefineXKBSym("Greek_beta","U03B2")
+ DefineXKBSym("Greek_gamma","U03B3")
+ DefineXKBSym("Greek_delta","U03B4")
+ DefineXKBSym("Greek_epsilon","U03B5")
+ DefineXKBSym("Greek_zeta","U03B6")
+ DefineXKBSym("Greek_eta","U03B7")
+ DefineXKBSym("Greek_theta","U03B8")
+ DefineXKBSym("Greek_iota","U03B9")
+ DefineXKBSym("Greek_kappa","U03BA")
+ DefineXKBSym("Greek_lamda","U03BB")
+ DefineXKBSym("Greek_lambda","U03BB")
+ DefineXKBSym("Greek_mu","U03BC")
+ DefineXKBSym("Greek_nu","U03BD")
+ DefineXKBSym("Greek_xi","U03BE")
+ DefineXKBSym("Greek_omicron","U03BF")
+ DefineXKBSym("Greek_pi","U03C0")
+ DefineXKBSym("Greek_rho","U03C1")
+ DefineXKBSym("Greek_sigma","U03C3")
+ DefineXKBSym("Greek_finalsmallsigma","U03C2")
+ DefineXKBSym("Greek_tau","U03C4")
+ DefineXKBSym("Greek_upsilon","U03C5")
+ DefineXKBSym("Greek_phi","U03C6")
+ DefineXKBSym("Greek_chi","U03C7")
+ DefineXKBSym("Greek_psi","U03C8")
+ DefineXKBSym("Greek_omega","U03C9")
+ DefineXKBSym("leftradical","U23B7")
+ DefineXKBSym("topleftradical","U250C")
+ DefineXKBSym("horizconnector","U2500")
+ DefineXKBSym("topintegral","U2320")
+ DefineXKBSym("botintegral","U2321")
+ DefineXKBSym("vertconnector","U2502")
+ DefineXKBSym("topleftsqbracket","U23A1")
+ DefineXKBSym("botleftsqbracket","U23A3")
+ DefineXKBSym("toprightsqbracket","U23A4")
+ DefineXKBSym("botrightsqbracket","U23A6")
+ DefineXKBSym("topleftparens","U239B")
+ DefineXKBSym("botleftparens","U239D")
+ DefineXKBSym("toprightparens","U239E")
+ DefineXKBSym("botrightparens","U23A0")
+ DefineXKBSym("leftmiddlecurlybrace","U23A8")
+ DefineXKBSym("rightmiddlecurlybrace","U23AC")
+ DefineXKBSym("lessthanequal","U2264")
+ DefineXKBSym("notequal","U2260")
+ DefineXKBSym("greaterthanequal","U2265")
+ DefineXKBSym("integral","U222B")
+ DefineXKBSym("therefore","U2234")
+ DefineXKBSym("variation","U221D")
+ DefineXKBSym("infinity","U221E")
+ DefineXKBSym("nabla","U2207")
+ DefineXKBSym("approximate","U223C")
+ DefineXKBSym("similarequal","U2243")
+ DefineXKBSym("ifonlyif","U21D4")
+ DefineXKBSym("implies","U21D2")
+ DefineXKBSym("identical","U2261")
+ DefineXKBSym("radical","U221A")
+ DefineXKBSym("includedin","U2282")
+ DefineXKBSym("includes","U2283")
+ DefineXKBSym("intersection","U2229")
+ DefineXKBSym("union","U222A")
+ DefineXKBSym("logicaland","U2227")
+ DefineXKBSym("logicalor","U2228")
+ DefineXKBSym("partialderivative","U2202")
+ DefineXKBSym("function","U0192")
+ DefineXKBSym("leftarrow","U2190")
+ DefineXKBSym("uparrow","U2191")
+ DefineXKBSym("rightarrow","U2192")
+ DefineXKBSym("downarrow","U2193")
+ DefineXKBSym("soliddiamond","U25C6")
+ DefineXKBSym("checkerboard","U2592")
+ DefineXKBSym("ht","U2409")
+ DefineXKBSym("ff","U240C")
+ DefineXKBSym("cr","U240D")
+ DefineXKBSym("lf","U240A")
+ DefineXKBSym("nl","U2424")
+ DefineXKBSym("vt","U240B")
+ DefineXKBSym("lowrightcorner","U2518")
+ DefineXKBSym("uprightcorner","U2510")
+ DefineXKBSym("upleftcorner","U250C")
+ DefineXKBSym("lowleftcorner","U2514")
+ DefineXKBSym("crossinglines","U253C")
+ DefineXKBSym("horizlinescan1","U23BA")
+ DefineXKBSym("horizlinescan3","U23BB")
+ DefineXKBSym("horizlinescan5","U2500")
+ DefineXKBSym("horizlinescan7","U23BC")
+ DefineXKBSym("horizlinescan9","U23BD")
+ DefineXKBSym("leftt","U251C")
+ DefineXKBSym("rightt","U2524")
+ DefineXKBSym("bott","U2534")
+ DefineXKBSym("topt","U252C")
+ DefineXKBSym("vertbar","U2502")
+ DefineXKBSym("emspace","U2003")
+ DefineXKBSym("enspace","U2002")
+ DefineXKBSym("em3space","U2004")
+ DefineXKBSym("em4space","U2005")
+ DefineXKBSym("digitspace","U2007")
+ DefineXKBSym("punctspace","U2008")
+ DefineXKBSym("thinspace","U2009")
+ DefineXKBSym("hairspace","U200A")
+ DefineXKBSym("emdash","U2014")
+ DefineXKBSym("endash","U2013")
+ DefineXKBSym("signifblank","U2423")
+ DefineXKBSym("ellipsis","U2026")
+ DefineXKBSym("doubbaselinedot","U2025")
+ DefineXKBSym("onethird","U2153")
+ DefineXKBSym("twothirds","U2154")
+ DefineXKBSym("onefifth","U2155")
+ DefineXKBSym("twofifths","U2156")
+ DefineXKBSym("threefifths","U2157")
+ DefineXKBSym("fourfifths","U2158")
+ DefineXKBSym("onesixth","U2159")
+ DefineXKBSym("fivesixths","U215A")
+ DefineXKBSym("careof","U2105")
+ DefineXKBSym("figdash","U2012")
+ DefineXKBSym("leftanglebracket","U27E8")
+ DefineXKBSym("decimalpoint","U002E")
+ DefineXKBSym("rightanglebracket","U27E9")
+ DefineXKBSym("oneeighth","U215B")
+ DefineXKBSym("threeeighths","U215C")
+ DefineXKBSym("fiveeighths","U215D")
+ DefineXKBSym("seveneighths","U215E")
+ DefineXKBSym("trademark","U2122")
+ DefineXKBSym("signaturemark","U2613")
+ DefineXKBSym("leftopentriangle","U25C1")
+ DefineXKBSym("rightopentriangle","U25B7")
+ DefineXKBSym("emopencircle","U25CB")
+ DefineXKBSym("emopenrectangle","U25AF")
+ DefineXKBSym("leftsinglequotemark","U2018")
+ DefineXKBSym("rightsinglequotemark","U2019")
+ DefineXKBSym("leftdoublequotemark","U201C")
+ DefineXKBSym("rightdoublequotemark","U201D")
+ DefineXKBSym("prescription","U211E")
+ DefineXKBSym("minutes","U2032")
+ DefineXKBSym("seconds","U2033")
+ DefineXKBSym("latincross","U271D")
+ DefineXKBSym("filledrectbullet","U25AC")
+ DefineXKBSym("filledlefttribullet","U25C0")
+ DefineXKBSym("filledrighttribullet","U25B6")
+ DefineXKBSym("emfilledcircle","U25CF")
+ DefineXKBSym("emfilledrect","U25AE")
+ DefineXKBSym("enopencircbullet","U25E6")
+ DefineXKBSym("enopensquarebullet","U25AB")
+ DefineXKBSym("openrectbullet","U25AD")
+ DefineXKBSym("opentribulletup","U25B3")
+ DefineXKBSym("opentribulletdown","U25BD")
+ DefineXKBSym("openstar","U2606")
+ DefineXKBSym("enfilledcircbullet","U2022")
+ DefineXKBSym("enfilledsqbullet","U25AA")
+ DefineXKBSym("filledtribulletup","U25B2")
+ DefineXKBSym("filledtribulletdown","U25BC")
+ DefineXKBSym("leftpointer","U261C")
+ DefineXKBSym("rightpointer","U261E")
+ DefineXKBSym("club","U2663")
+ DefineXKBSym("diamond","U2666")
+ DefineXKBSym("heart","U2665")
+ DefineXKBSym("maltesecross","U2720")
+ DefineXKBSym("dagger","U2020")
+ DefineXKBSym("doubledagger","U2021")
+ DefineXKBSym("checkmark","U2713")
+ DefineXKBSym("ballotcross","U2717")
+ DefineXKBSym("musicalsharp","U266F")
+ DefineXKBSym("musicalflat","U266D")
+ DefineXKBSym("malesymbol","U2642")
+ DefineXKBSym("femalesymbol","U2640")
+ DefineXKBSym("telephone","U260E")
+ DefineXKBSym("telephonerecorder","U2315")
+ DefineXKBSym("phonographcopyright","U2117")
+ DefineXKBSym("caret","U2038")
+ DefineXKBSym("singlelowquotemark","U201A")
+ DefineXKBSym("doublelowquotemark","U201E")
+ DefineXKBSym("leftcaret","U003C")
+ DefineXKBSym("rightcaret","U003E")
+ DefineXKBSym("downcaret","U2228")
+ DefineXKBSym("upcaret","U2227")
+ DefineXKBSym("overbar","U00AF")
+ DefineXKBSym("downtack","U22A5")
+ DefineXKBSym("upshoe","U2229")
+ DefineXKBSym("downstile","U230A")
+ DefineXKBSym("underbar","U005F")
+ DefineXKBSym("jot","U2218")
+ DefineXKBSym("quad","U2395")
+ DefineXKBSym("uptack","U22A4")
+ DefineXKBSym("circle","U25CB")
+ DefineXKBSym("upstile","U2308")
+ DefineXKBSym("downshoe","U222A")
+ DefineXKBSym("rightshoe","U2283")
+ DefineXKBSym("leftshoe","U2282")
+ DefineXKBSym("lefttack","U22A2")
+ DefineXKBSym("righttack","U22A3")
+ DefineXKBSym("hebrew_doublelowline","U2017")
+ DefineXKBSym("hebrew_aleph","U05D0")
+ DefineXKBSym("hebrew_bet","U05D1")
+ DefineXKBSym("hebrew_beth","U05D1") ; deprecated
+ DefineXKBSym("hebrew_gimel","U05D2")
+ DefineXKBSym("hebrew_gimmel","U05D2") ; deprecated
+ DefineXKBSym("hebrew_dalet","U05D3")
+ DefineXKBSym("hebrew_daleth","U05D3") ; deprecated
+ DefineXKBSym("hebrew_he","U05D4")
+ DefineXKBSym("hebrew_waw","U05D5")
+ DefineXKBSym("hebrew_zain","U05D6")
+ DefineXKBSym("hebrew_zayin","U05D6") ; deprecated
+ DefineXKBSym("hebrew_chet","U05D7")
+ DefineXKBSym("hebrew_het","U05D7") ; deprecated
+ DefineXKBSym("hebrew_tet","U05D8")
+ DefineXKBSym("hebrew_teth","U05D8") ; deprecated
+ DefineXKBSym("hebrew_yod","U05D9")
+ DefineXKBSym("hebrew_finalkaph","U05DA")
+ DefineXKBSym("hebrew_kaph","U05DB")
+ DefineXKBSym("hebrew_lamed","U05DC")
+ DefineXKBSym("hebrew_finalmem","U05DD")
+ DefineXKBSym("hebrew_mem","U05DE")
+ DefineXKBSym("hebrew_finalnun","U05DF")
+ DefineXKBSym("hebrew_nun","U05E0")
+ DefineXKBSym("hebrew_samech","U05E1")
+ DefineXKBSym("hebrew_samekh","U05E1") ; deprecated
+ DefineXKBSym("hebrew_ayin","U05E2")
+ DefineXKBSym("hebrew_finalpe","U05E3")
+ DefineXKBSym("hebrew_pe","U05E4")
+ DefineXKBSym("hebrew_finalzade","U05E5")
+ DefineXKBSym("hebrew_finalzadi","U05E5") ; deprecated
+ DefineXKBSym("hebrew_zade","U05E6")
+ DefineXKBSym("hebrew_zadi","U05E6") ; deprecated
+ DefineXKBSym("hebrew_qoph","U05E7")
+ DefineXKBSym("hebrew_kuf","U05E7") ; deprecated
+ DefineXKBSym("hebrew_resh","U05E8")
+ DefineXKBSym("hebrew_shin","U05E9")
+ DefineXKBSym("hebrew_taw","U05EA")
+ DefineXKBSym("hebrew_taf","U05EA") ; deprecated
+ DefineXKBSym("Thai_kokai","U0E01")
+ DefineXKBSym("Thai_khokhai","U0E02")
+ DefineXKBSym("Thai_khokhuat","U0E03")
+ DefineXKBSym("Thai_khokhwai","U0E04")
+ DefineXKBSym("Thai_khokhon","U0E05")
+ DefineXKBSym("Thai_khorakhang","U0E06")
+ DefineXKBSym("Thai_ngongu","U0E07")
+ DefineXKBSym("Thai_chochan","U0E08")
+ DefineXKBSym("Thai_choching","U0E09")
+ DefineXKBSym("Thai_chochang","U0E0A")
+ DefineXKBSym("Thai_soso","U0E0B")
+ DefineXKBSym("Thai_chochoe","U0E0C")
+ DefineXKBSym("Thai_yoying","U0E0D")
+ DefineXKBSym("Thai_dochada","U0E0E")
+ DefineXKBSym("Thai_topatak","U0E0F")
+ DefineXKBSym("Thai_thothan","U0E10")
+ DefineXKBSym("Thai_thonangmontho","U0E11")
+ DefineXKBSym("Thai_thophuthao","U0E12")
+ DefineXKBSym("Thai_nonen","U0E13")
+ DefineXKBSym("Thai_dodek","U0E14")
+ DefineXKBSym("Thai_totao","U0E15")
+ DefineXKBSym("Thai_thothung","U0E16")
+ DefineXKBSym("Thai_thothahan","U0E17")
+ DefineXKBSym("Thai_thothong","U0E18")
+ DefineXKBSym("Thai_nonu","U0E19")
+ DefineXKBSym("Thai_bobaimai","U0E1A")
+ DefineXKBSym("Thai_popla","U0E1B")
+ DefineXKBSym("Thai_phophung","U0E1C")
+ DefineXKBSym("Thai_fofa","U0E1D")
+ DefineXKBSym("Thai_phophan","U0E1E")
+ DefineXKBSym("Thai_fofan","U0E1F")
+ DefineXKBSym("Thai_phosamphao","U0E20")
+ DefineXKBSym("Thai_moma","U0E21")
+ DefineXKBSym("Thai_yoyak","U0E22")
+ DefineXKBSym("Thai_rorua","U0E23")
+ DefineXKBSym("Thai_ru","U0E24")
+ DefineXKBSym("Thai_loling","U0E25")
+ DefineXKBSym("Thai_lu","U0E26")
+ DefineXKBSym("Thai_wowaen","U0E27")
+ DefineXKBSym("Thai_sosala","U0E28")
+ DefineXKBSym("Thai_sorusi","U0E29")
+ DefineXKBSym("Thai_sosua","U0E2A")
+ DefineXKBSym("Thai_hohip","U0E2B")
+ DefineXKBSym("Thai_lochula","U0E2C")
+ DefineXKBSym("Thai_oang","U0E2D")
+ DefineXKBSym("Thai_honokhuk","U0E2E")
+ DefineXKBSym("Thai_paiyannoi","U0E2F")
+ DefineXKBSym("Thai_saraa","U0E30")
+ DefineXKBSym("Thai_maihanakat","U0E31")
+ DefineXKBSym("Thai_saraaa","U0E32")
+ DefineXKBSym("Thai_saraam","U0E33")
+ DefineXKBSym("Thai_sarai","U0E34")
+ DefineXKBSym("Thai_saraii","U0E35")
+ DefineXKBSym("Thai_saraue","U0E36")
+ DefineXKBSym("Thai_sarauee","U0E37")
+ DefineXKBSym("Thai_sarau","U0E38")
+ DefineXKBSym("Thai_sarauu","U0E39")
+ DefineXKBSym("Thai_phinthu","U0E3A")
+ DefineXKBSym("Thai_baht","U0E3F")
+ DefineXKBSym("Thai_sarae","U0E40")
+ DefineXKBSym("Thai_saraae","U0E41")
+ DefineXKBSym("Thai_sarao","U0E42")
+ DefineXKBSym("Thai_saraaimaimuan","U0E43")
+ DefineXKBSym("Thai_saraaimaimalai","U0E44")
+ DefineXKBSym("Thai_lakkhangyao","U0E45")
+ DefineXKBSym("Thai_maiyamok","U0E46")
+ DefineXKBSym("Thai_maitaikhu","U0E47")
+ DefineXKBSym("Thai_maiek","U0E48")
+ DefineXKBSym("Thai_maitho","U0E49")
+ DefineXKBSym("Thai_maitri","U0E4A")
+ DefineXKBSym("Thai_maichattawa","U0E4B")
+ DefineXKBSym("Thai_thanthakhat","U0E4C")
+ DefineXKBSym("Thai_nikhahit","U0E4D")
+ DefineXKBSym("Thai_leksun","U0E50")
+ DefineXKBSym("Thai_leknung","U0E51")
+ DefineXKBSym("Thai_leksong","U0E52")
+ DefineXKBSym("Thai_leksam","U0E53")
+ DefineXKBSym("Thai_leksi","U0E54")
+ DefineXKBSym("Thai_lekha","U0E55")
+ DefineXKBSym("Thai_lekhok","U0E56")
+ DefineXKBSym("Thai_lekchet","U0E57")
+ DefineXKBSym("Thai_lekpaet","U0E58")
+ DefineXKBSym("Thai_lekkao","U0E59")
+ DefineXKBSym("Korean_Won","U20A9")
+ DefineXKBSym("Armenian_ligature_ew","U0587")
+ DefineXKBSym("Armenian_full_stop","U0589")
+ DefineXKBSym("Armenian_verjaket","U0589")
+ DefineXKBSym("Armenian_separation_mark","U055D")
+ DefineXKBSym("Armenian_but","U055D")
+ DefineXKBSym("Armenian_hyphen","U058A")
+ DefineXKBSym("Armenian_yentamna","U058A")
+ DefineXKBSym("Armenian_exclam","U055C")
+ DefineXKBSym("Armenian_amanak","U055C")
+ DefineXKBSym("Armenian_accent","U055B")
+ DefineXKBSym("Armenian_shesht","U055B")
+ DefineXKBSym("Armenian_question","U055E")
+ DefineXKBSym("Armenian_paruyk","U055E")
+ DefineXKBSym("Armenian_AYB","U0531")
+ DefineXKBSym("Armenian_ayb","U0561")
+ DefineXKBSym("Armenian_BEN","U0532")
+ DefineXKBSym("Armenian_ben","U0562")
+ DefineXKBSym("Armenian_GIM","U0533")
+ DefineXKBSym("Armenian_gim","U0563")
+ DefineXKBSym("Armenian_DA","U0534")
+ DefineXKBSym("Armenian_da","U0564")
+ DefineXKBSym("Armenian_YECH","U0535")
+ DefineXKBSym("Armenian_yech","U0565")
+ DefineXKBSym("Armenian_ZA","U0536")
+ DefineXKBSym("Armenian_za","U0566")
+ DefineXKBSym("Armenian_E","U0537")
+ DefineXKBSym("Armenian_e","U0567")
+ DefineXKBSym("Armenian_AT","U0538")
+ DefineXKBSym("Armenian_at","U0568")
+ DefineXKBSym("Armenian_TO","U0539")
+ DefineXKBSym("Armenian_to","U0569")
+ DefineXKBSym("Armenian_ZHE","U053A")
+ DefineXKBSym("Armenian_zhe","U056A")
+ DefineXKBSym("Armenian_INI","U053B")
+ DefineXKBSym("Armenian_ini","U056B")
+ DefineXKBSym("Armenian_LYUN","U053C")
+ DefineXKBSym("Armenian_lyun","U056C")
+ DefineXKBSym("Armenian_KHE","U053D")
+ DefineXKBSym("Armenian_khe","U056D")
+ DefineXKBSym("Armenian_TSA","U053E")
+ DefineXKBSym("Armenian_tsa","U056E")
+ DefineXKBSym("Armenian_KEN","U053F")
+ DefineXKBSym("Armenian_ken","U056F")
+ DefineXKBSym("Armenian_HO","U0540")
+ DefineXKBSym("Armenian_ho","U0570")
+ DefineXKBSym("Armenian_DZA","U0541")
+ DefineXKBSym("Armenian_dza","U0571")
+ DefineXKBSym("Armenian_GHAT","U0542")
+ DefineXKBSym("Armenian_ghat","U0572")
+ DefineXKBSym("Armenian_TCHE","U0543")
+ DefineXKBSym("Armenian_tche","U0573")
+ DefineXKBSym("Armenian_MEN","U0544")
+ DefineXKBSym("Armenian_men","U0574")
+ DefineXKBSym("Armenian_HI","U0545")
+ DefineXKBSym("Armenian_hi","U0575")
+ DefineXKBSym("Armenian_NU","U0546")
+ DefineXKBSym("Armenian_nu","U0576")
+ DefineXKBSym("Armenian_SHA","U0547")
+ DefineXKBSym("Armenian_sha","U0577")
+ DefineXKBSym("Armenian_VO","U0548")
+ DefineXKBSym("Armenian_vo","U0578")
+ DefineXKBSym("Armenian_CHA","U0549")
+ DefineXKBSym("Armenian_cha","U0579")
+ DefineXKBSym("Armenian_PE","U054A")
+ DefineXKBSym("Armenian_pe","U057A")
+ DefineXKBSym("Armenian_JE","U054B")
+ DefineXKBSym("Armenian_je","U057B")
+ DefineXKBSym("Armenian_RA","U054C")
+ DefineXKBSym("Armenian_ra","U057C")
+ DefineXKBSym("Armenian_SE","U054D")
+ DefineXKBSym("Armenian_se","U057D")
+ DefineXKBSym("Armenian_VEV","U054E")
+ DefineXKBSym("Armenian_vev","U057E")
+ DefineXKBSym("Armenian_TYUN","U054F")
+ DefineXKBSym("Armenian_tyun","U057F")
+ DefineXKBSym("Armenian_RE","U0550")
+ DefineXKBSym("Armenian_re","U0580")
+ DefineXKBSym("Armenian_TSO","U0551")
+ DefineXKBSym("Armenian_tso","U0581")
+ DefineXKBSym("Armenian_VYUN","U0552")
+ DefineXKBSym("Armenian_vyun","U0582")
+ DefineXKBSym("Armenian_PYUR","U0553")
+ DefineXKBSym("Armenian_pyur","U0583")
+ DefineXKBSym("Armenian_KE","U0554")
+ DefineXKBSym("Armenian_ke","U0584")
+ DefineXKBSym("Armenian_O","U0555")
+ DefineXKBSym("Armenian_o","U0585")
+ DefineXKBSym("Armenian_FE","U0556")
+ DefineXKBSym("Armenian_fe","U0586")
+ DefineXKBSym("Armenian_apostrophe","U055A")
+ DefineXKBSym("Georgian_an","U10D0")
+ DefineXKBSym("Georgian_ban","U10D1")
+ DefineXKBSym("Georgian_gan","U10D2")
+ DefineXKBSym("Georgian_don","U10D3")
+ DefineXKBSym("Georgian_en","U10D4")
+ DefineXKBSym("Georgian_vin","U10D5")
+ DefineXKBSym("Georgian_zen","U10D6")
+ DefineXKBSym("Georgian_tan","U10D7")
+ DefineXKBSym("Georgian_in","U10D8")
+ DefineXKBSym("Georgian_kan","U10D9")
+ DefineXKBSym("Georgian_las","U10DA")
+ DefineXKBSym("Georgian_man","U10DB")
+ DefineXKBSym("Georgian_nar","U10DC")
+ DefineXKBSym("Georgian_on","U10DD")
+ DefineXKBSym("Georgian_par","U10DE")
+ DefineXKBSym("Georgian_zhar","U10DF")
+ DefineXKBSym("Georgian_rae","U10E0")
+ DefineXKBSym("Georgian_san","U10E1")
+ DefineXKBSym("Georgian_tar","U10E2")
+ DefineXKBSym("Georgian_un","U10E3")
+ DefineXKBSym("Georgian_phar","U10E4")
+ DefineXKBSym("Georgian_khar","U10E5")
+ DefineXKBSym("Georgian_ghan","U10E6")
+ DefineXKBSym("Georgian_qar","U10E7")
+ DefineXKBSym("Georgian_shin","U10E8")
+ DefineXKBSym("Georgian_chin","U10E9")
+ DefineXKBSym("Georgian_can","U10EA")
+ DefineXKBSym("Georgian_jil","U10EB")
+ DefineXKBSym("Georgian_cil","U10EC")
+ DefineXKBSym("Georgian_char","U10ED")
+ DefineXKBSym("Georgian_xan","U10EE")
+ DefineXKBSym("Georgian_jhan","U10EF")
+ DefineXKBSym("Georgian_hae","U10F0")
+ DefineXKBSym("Georgian_he","U10F1")
+ DefineXKBSym("Georgian_hie","U10F2")
+ DefineXKBSym("Georgian_we","U10F3")
+ DefineXKBSym("Georgian_har","U10F4")
+ DefineXKBSym("Georgian_hoe","U10F5")
+ DefineXKBSym("Georgian_fi","U10F6")
+ DefineXKBSym("Xabovedot","U1E8A")
+ DefineXKBSym("Ibreve","U012C")
+ DefineXKBSym("Zstroke","U01B5")
+ DefineXKBSym("Gcaron","U01E6")
+ DefineXKBSym("Ocaron","U01D2")
+ DefineXKBSym("Obarred","U019F")
+ DefineXKBSym("xabovedot","U1E8B")
+ DefineXKBSym("ibreve","U012D")
+ DefineXKBSym("zstroke","U01B6")
+ DefineXKBSym("gcaron","U01E7")
+ DefineXKBSym("ocaron","U01D2")
+ DefineXKBSym("obarred","U0275")
+ DefineXKBSym("SCHWA","U018F")
+ DefineXKBSym("schwa","U0259")
+ DefineXKBSym("Lbelowdot","U1E36")
+ DefineXKBSym("lbelowdot","U1E37")
+ DefineXKBSym("Abelowdot","U1EA0")
+ DefineXKBSym("abelowdot","U1EA1")
+ DefineXKBSym("Ahook","U1EA2")
+ DefineXKBSym("ahook","U1EA3")
+ DefineXKBSym("Acircumflexacute","U1EA4")
+ DefineXKBSym("acircumflexacute","U1EA5")
+ DefineXKBSym("Acircumflexgrave","U1EA6")
+ DefineXKBSym("acircumflexgrave","U1EA7")
+ DefineXKBSym("Acircumflexhook","U1EA8")
+ DefineXKBSym("acircumflexhook","U1EA9")
+ DefineXKBSym("Acircumflextilde","U1EAA")
+ DefineXKBSym("acircumflextilde","U1EAB")
+ DefineXKBSym("Acircumflexbelowdot","U1EAC")
+ DefineXKBSym("acircumflexbelowdot","U1EAD")
+ DefineXKBSym("Abreveacute","U1EAE")
+ DefineXKBSym("abreveacute","U1EAF")
+ DefineXKBSym("Abrevegrave","U1EB0")
+ DefineXKBSym("abrevegrave","U1EB1")
+ DefineXKBSym("Abrevehook","U1EB2")
+ DefineXKBSym("abrevehook","U1EB3")
+ DefineXKBSym("Abrevetilde","U1EB4")
+ DefineXKBSym("abrevetilde","U1EB5")
+ DefineXKBSym("Abrevebelowdot","U1EB6")
+ DefineXKBSym("abrevebelowdot","U1EB7")
+ DefineXKBSym("Ebelowdot","U1EB8")
+ DefineXKBSym("ebelowdot","U1EB9")
+ DefineXKBSym("Ehook","U1EBA")
+ DefineXKBSym("ehook","U1EBB")
+ DefineXKBSym("Etilde","U1EBC")
+ DefineXKBSym("etilde","U1EBD")
+ DefineXKBSym("Ecircumflexacute","U1EBE")
+ DefineXKBSym("ecircumflexacute","U1EBF")
+ DefineXKBSym("Ecircumflexgrave","U1EC0")
+ DefineXKBSym("ecircumflexgrave","U1EC1")
+ DefineXKBSym("Ecircumflexhook","U1EC2")
+ DefineXKBSym("ecircumflexhook","U1EC3")
+ DefineXKBSym("Ecircumflextilde","U1EC4")
+ DefineXKBSym("ecircumflextilde","U1EC5")
+ DefineXKBSym("Ecircumflexbelowdot","U1EC6")
+ DefineXKBSym("ecircumflexbelowdot","U1EC7")
+ DefineXKBSym("Ihook","U1EC8")
+ DefineXKBSym("ihook","U1EC9")
+ DefineXKBSym("Ibelowdot","U1ECA")
+ DefineXKBSym("ibelowdot","U1ECB")
+ DefineXKBSym("Obelowdot","U1ECC")
+ DefineXKBSym("obelowdot","U1ECD")
+ DefineXKBSym("Ohook","U1ECE")
+ DefineXKBSym("ohook","U1ECF")
+ DefineXKBSym("Ocircumflexacute","U1ED0")
+ DefineXKBSym("ocircumflexacute","U1ED1")
+ DefineXKBSym("Ocircumflexgrave","U1ED2")
+ DefineXKBSym("ocircumflexgrave","U1ED3")
+ DefineXKBSym("Ocircumflexhook","U1ED4")
+ DefineXKBSym("ocircumflexhook","U1ED5")
+ DefineXKBSym("Ocircumflextilde","U1ED6")
+ DefineXKBSym("ocircumflextilde","U1ED7")
+ DefineXKBSym("Ocircumflexbelowdot","U1ED8")
+ DefineXKBSym("ocircumflexbelowdot","U1ED9")
+ DefineXKBSym("Ohornacute","U1EDA")
+ DefineXKBSym("ohornacute","U1EDB")
+ DefineXKBSym("Ohorngrave","U1EDC")
+ DefineXKBSym("ohorngrave","U1EDD")
+ DefineXKBSym("Ohornhook","U1EDE")
+ DefineXKBSym("ohornhook","U1EDF")
+ DefineXKBSym("Ohorntilde","U1EE0")
+ DefineXKBSym("ohorntilde","U1EE1")
+ DefineXKBSym("Ohornbelowdot","U1EE2")
+ DefineXKBSym("ohornbelowdot","U1EE3")
+ DefineXKBSym("Ubelowdot","U1EE4")
+ DefineXKBSym("ubelowdot","U1EE5")
+ DefineXKBSym("Uhook","U1EE6")
+ DefineXKBSym("uhook","U1EE7")
+ DefineXKBSym("Uhornacute","U1EE8")
+ DefineXKBSym("uhornacute","U1EE9")
+ DefineXKBSym("Uhorngrave","U1EEA")
+ DefineXKBSym("uhorngrave","U1EEB")
+ DefineXKBSym("Uhornhook","U1EEC")
+ DefineXKBSym("uhornhook","U1EED")
+ DefineXKBSym("Uhorntilde","U1EEE")
+ DefineXKBSym("uhorntilde","U1EEF")
+ DefineXKBSym("Uhornbelowdot","U1EF0")
+ DefineXKBSym("uhornbelowdot","U1EF1")
+ DefineXKBSym("Ybelowdot","U1EF4")
+ DefineXKBSym("ybelowdot","U1EF5")
+ DefineXKBSym("Yhook","U1EF6")
+ DefineXKBSym("yhook","U1EF7")
+ DefineXKBSym("Ytilde","U1EF8")
+ DefineXKBSym("ytilde","U1EF9")
+ DefineXKBSym("Ohorn","U01A0")
+ DefineXKBSym("ohorn","U01A1")
+ DefineXKBSym("Uhorn","U01AF")
+ DefineXKBSym("uhorn","U01B0")
+ DefineXKBSym("EcuSign","U20A0")
+ DefineXKBSym("ColonSign","U20A1")
+ DefineXKBSym("CruzeiroSign","U20A2")
+ DefineXKBSym("FFrancSign","U20A3")
+ DefineXKBSym("LiraSign","U20A4")
+ DefineXKBSym("MillSign","U20A5")
+ DefineXKBSym("NairaSign","U20A6")
+ DefineXKBSym("PesetaSign","U20A7")
+ DefineXKBSym("RupeeSign","U20A8")
+ DefineXKBSym("WonSign","U20A9")
+ DefineXKBSym("NewSheqelSign","U20AA")
+ DefineXKBSym("DongSign","U20AB")
+ DefineXKBSym("EuroSign","U20AC")
+ DefineXKBSym("zerosuperior","U2070")
+ DefineXKBSym("foursuperior","U2074")
+ DefineXKBSym("fivesuperior","U2075")
+ DefineXKBSym("sixsuperior","U2076")
+ DefineXKBSym("sevensuperior","U2077")
+ DefineXKBSym("eightsuperior","U2078")
+ DefineXKBSym("ninesuperior","U2079")
+ DefineXKBSym("zerosubscript","U2080")
+ DefineXKBSym("onesubscript","U2081")
+ DefineXKBSym("twosubscript","U2082")
+ DefineXKBSym("threesubscript","U2083")
+ DefineXKBSym("foursubscript","U2084")
+ DefineXKBSym("fivesubscript","U2085")
+ DefineXKBSym("sixsubscript","U2086")
+ DefineXKBSym("sevensubscript","U2087")
+ DefineXKBSym("eightsubscript","U2088")
+ DefineXKBSym("ninesubscript","U2089")
+ DefineXKBSym("partdifferential","U2202")
+ DefineXKBSym("emptyset","U2205")
+ DefineXKBSym("elementof","U2208")
+ DefineXKBSym("notelementof","U2209")
+ DefineXKBSym("containsas","U220B")
+ DefineXKBSym("squareroot","U221A")
+ DefineXKBSym("cuberoot","U221B")
+ DefineXKBSym("fourthroot","U221C")
+ DefineXKBSym("dintegral","U222C")
+ DefineXKBSym("tintegral","U222D")
+ DefineXKBSym("because","U2235")
+ DefineXKBSym("approxeq","U2245")
+ DefineXKBSym("notapproxeq","U2247")
+ DefineXKBSym("notidentical","U2262")
+ DefineXKBSym("stricteq","U2263")
+ DefineXKBSym("braille_blank","U2800")
+ DefineXKBSym("braille_dots_1","U2801")
+ DefineXKBSym("braille_dots_2","U2802")
+ DefineXKBSym("braille_dots_12","U2803")
+ DefineXKBSym("braille_dots_3","U2804")
+ DefineXKBSym("braille_dots_13","U2805")
+ DefineXKBSym("braille_dots_23","U2806")
+ DefineXKBSym("braille_dots_123","U2807")
+ DefineXKBSym("braille_dots_4","U2808")
+ DefineXKBSym("braille_dots_14","U2809")
+ DefineXKBSym("braille_dots_24","U280a")
+ DefineXKBSym("braille_dots_124","U280b")
+ DefineXKBSym("braille_dots_34","U280c")
+ DefineXKBSym("braille_dots_134","U280d")
+ DefineXKBSym("braille_dots_234","U280e")
+ DefineXKBSym("braille_dots_1234","U280f")
+ DefineXKBSym("braille_dots_5","U2810")
+ DefineXKBSym("braille_dots_15","U2811")
+ DefineXKBSym("braille_dots_25","U2812")
+ DefineXKBSym("braille_dots_125","U2813")
+ DefineXKBSym("braille_dots_35","U2814")
+ DefineXKBSym("braille_dots_135","U2815")
+ DefineXKBSym("braille_dots_235","U2816")
+ DefineXKBSym("braille_dots_1235","U2817")
+ DefineXKBSym("braille_dots_45","U2818")
+ DefineXKBSym("braille_dots_145","U2819")
+ DefineXKBSym("braille_dots_245","U281a")
+ DefineXKBSym("braille_dots_1245","U281b")
+ DefineXKBSym("braille_dots_345","U281c")
+ DefineXKBSym("braille_dots_1345","U281d")
+ DefineXKBSym("braille_dots_2345","U281e")
+ DefineXKBSym("braille_dots_12345","U281f")
+ DefineXKBSym("braille_dots_6","U2820")
+ DefineXKBSym("braille_dots_16","U2821")
+ DefineXKBSym("braille_dots_26","U2822")
+ DefineXKBSym("braille_dots_126","U2823")
+ DefineXKBSym("braille_dots_36","U2824")
+ DefineXKBSym("braille_dots_136","U2825")
+ DefineXKBSym("braille_dots_236","U2826")
+ DefineXKBSym("braille_dots_1236","U2827")
+ DefineXKBSym("braille_dots_46","U2828")
+ DefineXKBSym("braille_dots_146","U2829")
+ DefineXKBSym("braille_dots_246","U282a")
+ DefineXKBSym("braille_dots_1246","U282b")
+ DefineXKBSym("braille_dots_346","U282c")
+ DefineXKBSym("braille_dots_1346","U282d")
+ DefineXKBSym("braille_dots_2346","U282e")
+ DefineXKBSym("braille_dots_12346","U282f")
+ DefineXKBSym("braille_dots_56","U2830")
+ DefineXKBSym("braille_dots_156","U2831")
+ DefineXKBSym("braille_dots_256","U2832")
+ DefineXKBSym("braille_dots_1256","U2833")
+ DefineXKBSym("braille_dots_356","U2834")
+ DefineXKBSym("braille_dots_1356","U2835")
+ DefineXKBSym("braille_dots_2356","U2836")
+ DefineXKBSym("braille_dots_12356","U2837")
+ DefineXKBSym("braille_dots_456","U2838")
+ DefineXKBSym("braille_dots_1456","U2839")
+ DefineXKBSym("braille_dots_2456","U283a")
+ DefineXKBSym("braille_dots_12456","U283b")
+ DefineXKBSym("braille_dots_3456","U283c")
+ DefineXKBSym("braille_dots_13456","U283d")
+ DefineXKBSym("braille_dots_23456","U283e")
+ DefineXKBSym("braille_dots_123456","U283f")
+ DefineXKBSym("braille_dots_7","U2840")
+ DefineXKBSym("braille_dots_17","U2841")
+ DefineXKBSym("braille_dots_27","U2842")
+ DefineXKBSym("braille_dots_127","U2843")
+ DefineXKBSym("braille_dots_37","U2844")
+ DefineXKBSym("braille_dots_137","U2845")
+ DefineXKBSym("braille_dots_237","U2846")
+ DefineXKBSym("braille_dots_1237","U2847")
+ DefineXKBSym("braille_dots_47","U2848")
+ DefineXKBSym("braille_dots_147","U2849")
+ DefineXKBSym("braille_dots_247","U284a")
+ DefineXKBSym("braille_dots_1247","U284b")
+ DefineXKBSym("braille_dots_347","U284c")
+ DefineXKBSym("braille_dots_1347","U284d")
+ DefineXKBSym("braille_dots_2347","U284e")
+ DefineXKBSym("braille_dots_12347","U284f")
+ DefineXKBSym("braille_dots_57","U2850")
+ DefineXKBSym("braille_dots_157","U2851")
+ DefineXKBSym("braille_dots_257","U2852")
+ DefineXKBSym("braille_dots_1257","U2853")
+ DefineXKBSym("braille_dots_357","U2854")
+ DefineXKBSym("braille_dots_1357","U2855")
+ DefineXKBSym("braille_dots_2357","U2856")
+ DefineXKBSym("braille_dots_12357","U2857")
+ DefineXKBSym("braille_dots_457","U2858")
+ DefineXKBSym("braille_dots_1457","U2859")
+ DefineXKBSym("braille_dots_2457","U285a")
+ DefineXKBSym("braille_dots_12457","U285b")
+ DefineXKBSym("braille_dots_3457","U285c")
+ DefineXKBSym("braille_dots_13457","U285d")
+ DefineXKBSym("braille_dots_23457","U285e")
+ DefineXKBSym("braille_dots_123457","U285f")
+ DefineXKBSym("braille_dots_67","U2860")
+ DefineXKBSym("braille_dots_167","U2861")
+ DefineXKBSym("braille_dots_267","U2862")
+ DefineXKBSym("braille_dots_1267","U2863")
+ DefineXKBSym("braille_dots_367","U2864")
+ DefineXKBSym("braille_dots_1367","U2865")
+ DefineXKBSym("braille_dots_2367","U2866")
+ DefineXKBSym("braille_dots_12367","U2867")
+ DefineXKBSym("braille_dots_467","U2868")
+ DefineXKBSym("braille_dots_1467","U2869")
+ DefineXKBSym("braille_dots_2467","U286a")
+ DefineXKBSym("braille_dots_12467","U286b")
+ DefineXKBSym("braille_dots_3467","U286c")
+ DefineXKBSym("braille_dots_13467","U286d")
+ DefineXKBSym("braille_dots_23467","U286e")
+ DefineXKBSym("braille_dots_123467","U286f")
+ DefineXKBSym("braille_dots_567","U2870")
+ DefineXKBSym("braille_dots_1567","U2871")
+ DefineXKBSym("braille_dots_2567","U2872")
+ DefineXKBSym("braille_dots_12567","U2873")
+ DefineXKBSym("braille_dots_3567","U2874")
+ DefineXKBSym("braille_dots_13567","U2875")
+ DefineXKBSym("braille_dots_23567","U2876")
+ DefineXKBSym("braille_dots_123567","U2877")
+ DefineXKBSym("braille_dots_4567","U2878")
+ DefineXKBSym("braille_dots_14567","U2879")
+ DefineXKBSym("braille_dots_24567","U287a")
+ DefineXKBSym("braille_dots_124567","U287b")
+ DefineXKBSym("braille_dots_34567","U287c")
+ DefineXKBSym("braille_dots_134567","U287d")
+ DefineXKBSym("braille_dots_234567","U287e")
+ DefineXKBSym("braille_dots_1234567","U287f")
+ DefineXKBSym("braille_dots_8","U2880")
+ DefineXKBSym("braille_dots_18","U2881")
+ DefineXKBSym("braille_dots_28","U2882")
+ DefineXKBSym("braille_dots_128","U2883")
+ DefineXKBSym("braille_dots_38","U2884")
+ DefineXKBSym("braille_dots_138","U2885")
+ DefineXKBSym("braille_dots_238","U2886")
+ DefineXKBSym("braille_dots_1238","U2887")
+ DefineXKBSym("braille_dots_48","U2888")
+ DefineXKBSym("braille_dots_148","U2889")
+ DefineXKBSym("braille_dots_248","U288a")
+ DefineXKBSym("braille_dots_1248","U288b")
+ DefineXKBSym("braille_dots_348","U288c")
+ DefineXKBSym("braille_dots_1348","U288d")
+ DefineXKBSym("braille_dots_2348","U288e")
+ DefineXKBSym("braille_dots_12348","U288f")
+ DefineXKBSym("braille_dots_58","U2890")
+ DefineXKBSym("braille_dots_158","U2891")
+ DefineXKBSym("braille_dots_258","U2892")
+ DefineXKBSym("braille_dots_1258","U2893")
+ DefineXKBSym("braille_dots_358","U2894")
+ DefineXKBSym("braille_dots_1358","U2895")
+ DefineXKBSym("braille_dots_2358","U2896")
+ DefineXKBSym("braille_dots_12358","U2897")
+ DefineXKBSym("braille_dots_458","U2898")
+ DefineXKBSym("braille_dots_1458","U2899")
+ DefineXKBSym("braille_dots_2458","U289a")
+ DefineXKBSym("braille_dots_12458","U289b")
+ DefineXKBSym("braille_dots_3458","U289c")
+ DefineXKBSym("braille_dots_13458","U289d")
+ DefineXKBSym("braille_dots_23458","U289e")
+ DefineXKBSym("braille_dots_123458","U289f")
+ DefineXKBSym("braille_dots_68","U28a0")
+ DefineXKBSym("braille_dots_168","U28a1")
+ DefineXKBSym("braille_dots_268","U28a2")
+ DefineXKBSym("braille_dots_1268","U28a3")
+ DefineXKBSym("braille_dots_368","U28a4")
+ DefineXKBSym("braille_dots_1368","U28a5")
+ DefineXKBSym("braille_dots_2368","U28a6")
+ DefineXKBSym("braille_dots_12368","U28a7")
+ DefineXKBSym("braille_dots_468","U28a8")
+ DefineXKBSym("braille_dots_1468","U28a9")
+ DefineXKBSym("braille_dots_2468","U28aa")
+ DefineXKBSym("braille_dots_12468","U28ab")
+ DefineXKBSym("braille_dots_3468","U28ac")
+ DefineXKBSym("braille_dots_13468","U28ad")
+ DefineXKBSym("braille_dots_23468","U28ae")
+ DefineXKBSym("braille_dots_123468","U28af")
+ DefineXKBSym("braille_dots_568","U28b0")
+ DefineXKBSym("braille_dots_1568","U28b1")
+ DefineXKBSym("braille_dots_2568","U28b2")
+ DefineXKBSym("braille_dots_12568","U28b3")
+ DefineXKBSym("braille_dots_3568","U28b4")
+ DefineXKBSym("braille_dots_13568","U28b5")
+ DefineXKBSym("braille_dots_23568","U28b6")
+ DefineXKBSym("braille_dots_123568","U28b7")
+ DefineXKBSym("braille_dots_4568","U28b8")
+ DefineXKBSym("braille_dots_14568","U28b9")
+ DefineXKBSym("braille_dots_24568","U28ba")
+ DefineXKBSym("braille_dots_124568","U28bb")
+ DefineXKBSym("braille_dots_34568","U28bc")
+ DefineXKBSym("braille_dots_134568","U28bd")
+ DefineXKBSym("braille_dots_234568","U28be")
+ DefineXKBSym("braille_dots_1234568","U28bf")
+ DefineXKBSym("braille_dots_78","U28c0")
+ DefineXKBSym("braille_dots_178","U28c1")
+ DefineXKBSym("braille_dots_278","U28c2")
+ DefineXKBSym("braille_dots_1278","U28c3")
+ DefineXKBSym("braille_dots_378","U28c4")
+ DefineXKBSym("braille_dots_1378","U28c5")
+ DefineXKBSym("braille_dots_2378","U28c6")
+ DefineXKBSym("braille_dots_12378","U28c7")
+ DefineXKBSym("braille_dots_478","U28c8")
+ DefineXKBSym("braille_dots_1478","U28c9")
+ DefineXKBSym("braille_dots_2478","U28ca")
+ DefineXKBSym("braille_dots_12478","U28cb")
+ DefineXKBSym("braille_dots_3478","U28cc")
+ DefineXKBSym("braille_dots_13478","U28cd")
+ DefineXKBSym("braille_dots_23478","U28ce")
+ DefineXKBSym("braille_dots_123478","U28cf")
+ DefineXKBSym("braille_dots_578","U28d0")
+ DefineXKBSym("braille_dots_1578","U28d1")
+ DefineXKBSym("braille_dots_2578","U28d2")
+ DefineXKBSym("braille_dots_12578","U28d3")
+ DefineXKBSym("braille_dots_3578","U28d4")
+ DefineXKBSym("braille_dots_13578","U28d5")
+ DefineXKBSym("braille_dots_23578","U28d6")
+ DefineXKBSym("braille_dots_123578","U28d7")
+ DefineXKBSym("braille_dots_4578","U28d8")
+ DefineXKBSym("braille_dots_14578","U28d9")
+ DefineXKBSym("braille_dots_24578","U28da")
+ DefineXKBSym("braille_dots_124578","U28db")
+ DefineXKBSym("braille_dots_34578","U28dc")
+ DefineXKBSym("braille_dots_134578","U28dd")
+ DefineXKBSym("braille_dots_234578","U28de")
+ DefineXKBSym("braille_dots_1234578","U28df")
+ DefineXKBSym("braille_dots_678","U28e0")
+ DefineXKBSym("braille_dots_1678","U28e1")
+ DefineXKBSym("braille_dots_2678","U28e2")
+ DefineXKBSym("braille_dots_12678","U28e3")
+ DefineXKBSym("braille_dots_3678","U28e4")
+ DefineXKBSym("braille_dots_13678","U28e5")
+ DefineXKBSym("braille_dots_23678","U28e6")
+ DefineXKBSym("braille_dots_123678","U28e7")
+ DefineXKBSym("braille_dots_4678","U28e8")
+ DefineXKBSym("braille_dots_14678","U28e9")
+ DefineXKBSym("braille_dots_24678","U28ea")
+ DefineXKBSym("braille_dots_124678","U28eb")
+ DefineXKBSym("braille_dots_34678","U28ec")
+ DefineXKBSym("braille_dots_134678","U28ed")
+ DefineXKBSym("braille_dots_234678","U28ee")
+ DefineXKBSym("braille_dots_1234678","U28ef")
+ DefineXKBSym("braille_dots_5678","U28f0")
+ DefineXKBSym("braille_dots_15678","U28f1")
+ DefineXKBSym("braille_dots_25678","U28f2")
+ DefineXKBSym("braille_dots_125678","U28f3")
+ DefineXKBSym("braille_dots_35678","U28f4")
+ DefineXKBSym("braille_dots_135678","U28f5")
+ DefineXKBSym("braille_dots_235678","U28f6")
+ DefineXKBSym("braille_dots_1235678","U28f7")
+ DefineXKBSym("braille_dots_45678","U28f8")
+ DefineXKBSym("braille_dots_145678","U28f9")
+ DefineXKBSym("braille_dots_245678","U28fa")
+ DefineXKBSym("braille_dots_1245678","U28fb")
+ DefineXKBSym("braille_dots_345678","U28fc")
+ DefineXKBSym("braille_dots_1345678","U28fd")
+ DefineXKBSym("braille_dots_2345678","U28fe")
+ DefineXKBSym("braille_dots_12345678","U28ff")
+ DefineXKBSym("NoSymbol","U0020")
+}
- if (ResName != "")
- return ResName
- else if (SubStr(X,1,1) == "U")
- return X
+convertXkbsym(X) {
+ global
+ nlen := StrLen(X)
+ SS := X . " "
+ pos := InStr(KSYM%nlen%,SS,true)
+; MsgBox,% X . ": " . nlen . ": " . pos
+ if (pos>0) {
+ poscd := 5*(pos-1)/(nlen+1)+1
+ X := SubStr(KSCD%nlen%,poscd,5)
+; MsgBox,% X
+ return X
+ } else if (SubStr(X,1,1) == "U")
+ return X
else {
- ResName := EncodeUni(Xkbsym)
+ ResName := EncodeUni(X)
if (StrLen(ResName) == 5)
return ResName
}
@@ -1897,7 +1925,7 @@ EncodeUnicodeFile(FFrom,FTo) {
if (StrLen(ressymb)==5)
Composita .= "CRC" . ressymb . ".="" " . modkeys . """`r`n"
} else {
- Composita := Composita "; illegal " Xkbsym " in " A_LoopField "`r`n"
+ Composita .= "; illegal " . Xkbsym . " in " . A_LoopField . "`r`n"
Miss := Miss + 1
}
}
@@ -1905,6 +1933,8 @@ EncodeUnicodeFile(FFrom,FTo) {
FileAppend,%Composita%,%FTo%
}
+DefineXKBSyms()
+
NumPars = %0%
if (NumPars < 2) {
MsgBox,Zu wenige Parameter`, Minimum=2